tudalen_pen_bg

Newyddion

Mae cymwysiadau prosesu data byd go iawn yn gofyn am systemau cyfrifiadura cryno, hwyrni isel, pŵer isel.Gyda galluoedd cyfrifiadurol sy'n cael eu gyrru gan ddigwyddiadau, mae pensaernïaeth niwromorffig memristive hybrid metel-ocsid-lled-ddargludyddion cyflenwol yn darparu sylfaen caledwedd ddelfrydol ar gyfer tasgau o'r fath.Er mwyn dangos potensial llawn systemau o'r fath, rydym yn cynnig ac yn dangos yn arbrofol ateb prosesu synhwyrydd cynhwysfawr ar gyfer cymwysiadau lleoleiddio gwrthrychau yn y byd go iawn.Gan dynnu ysbrydoliaeth o niwroanatomeg y dylluan wen, rydym wedi datblygu system leoleiddio gwrthrychau bio-ysbrydoledig, wedi’i gyrru gan ddigwyddiadau, sy’n cyfuno trawsddygiadur trawsddygiadur microfecanyddol piezoelectrig o’r radd flaenaf â chof gwrthiannol niwromorffig cyfrifiannol seiliedig ar graff.Rydym yn dangos mesuriadau o system ffug sy'n cynnwys canfodydd cyd-ddigwyddiad gwrthiannol ar sail cof, cylchedwaith llinell oedi, a thrawsddygiadur ultrasonic cwbl addasadwy.Rydym yn defnyddio'r canlyniadau arbrofol hyn i raddnodi efelychiadau ar lefel system.Yna defnyddir yr efelychiadau hyn i werthuso cydraniad onglog ac effeithlonrwydd ynni'r model lleoleiddio gwrthrychau.Mae'r canlyniadau'n dangos y gall ein hymagwedd fod sawl gradd maint yn fwy ynni-effeithlon na microreolyddion yn cyflawni'r un dasg.
Rydym yn mynd i mewn i oes o gyfrifiadura hollbresennol lle mae nifer y dyfeisiau a systemau a ddefnyddir yn cynyddu'n esbonyddol i'n helpu yn ein bywydau bob dydd.Disgwylir i'r systemau hyn redeg yn barhaus, gan ddefnyddio cyn lleied o bŵer â phosibl wrth ddysgu dehongli'r data y maent yn ei gasglu o synwyryddion lluosog mewn amser real a chynhyrchu allbwn deuaidd o ganlyniad i dasgau dosbarthu neu adnabod.Un o'r camau pwysicaf sydd ei angen i gyflawni'r nod hwn yw tynnu gwybodaeth ddefnyddiol a chryno o ddata synhwyraidd swnllyd ac anghyflawn yn aml.Mae dulliau peirianneg confensiynol fel arfer yn samplu signalau synhwyrydd ar gyfradd gyson ac uchel, gan gynhyrchu llawer iawn o ddata hyd yn oed yn absenoldeb mewnbynnau defnyddiol.Yn ogystal, mae'r dulliau hyn yn defnyddio technegau prosesu signal digidol cymhleth i rag-brosesu'r data mewnbwn (sy'n aml yn swnllyd).Yn lle hynny, mae bioleg yn cynnig atebion amgen ar gyfer prosesu data synhwyraidd swnllyd gan ddefnyddio dulliau ynni-effeithlon, asyncronaidd, sy'n cael eu gyrru gan ddigwyddiadau (spikes)2,3.Mae cyfrifiadura niwromorffig yn cael ei hysbrydoli gan systemau biolegol i leihau costau cyfrifiannol o ran gofynion ynni a chof o gymharu â dulliau prosesu signal traddodiadol4,5,6.Yn ddiweddar, dangoswyd systemau arloesol pwrpas cyffredinol yn seiliedig ar yr ymennydd sy'n gweithredu rhwydweithiau niwral byrbwyll (TrueNorth7, BrainScaleS8, DYNAP-SE9, Loihi10, Spinnaker11).Mae'r proseswyr hyn yn darparu datrysiadau pŵer isel, hwyrni isel ar gyfer dysgu peiriannau a modelu cylched cortigol.Er mwyn manteisio i'r eithaf ar eu heffeithlonrwydd ynni, rhaid i'r proseswyr niwromorffig hyn fod wedi'u cysylltu'n uniongyrchol â synwyryddion a yrrir gan ddigwyddiadau12,13.Fodd bynnag, heddiw dim ond ychydig o ddyfeisiau cyffwrdd sy'n darparu data sy'n cael ei yrru gan ddigwyddiadau yn uniongyrchol.Enghreifftiau amlwg yw synwyryddion gweledol deinamig (DVS) ar gyfer cymwysiadau golwg megis olrhain a chanfod mudiant14,15,16,17 y cochlea18 silicon a synwyryddion clywedol niwromorffig (NAS)19 ar gyfer prosesu signal clywedol, synwyryddion arogleuol20 a nifer o enghreifftiau21,22 o gyffwrdd..synwyryddion gwead.
Yn y papur hwn, rydym yn cyflwyno system brosesu clywedol a yrrir gan ddigwyddiadau sydd newydd ei datblygu ac sy'n berthnasol i leoleiddio gwrthrychau.Yma, am y tro cyntaf, rydym yn disgrifio system o un pen i'r llall ar gyfer lleoleiddio gwrthrychau a geir trwy gysylltu trawsddygiadur ultrasonic micro-beiriannu piezoelectrig (pMUT) o'r radd flaenaf â graff cyfrifiannol yn seiliedig ar gof gwrthiannol niwromorffig (RRAM).Mae pensaernïaeth cyfrifiadurol er cof gan ddefnyddio RRAM yn ateb addawol ar gyfer lleihau'r defnydd o bŵer23,24,25,26,27,28,29.Mae eu hanweddolrwydd cynhenid ​​​​- heb fod angen defnydd pŵer gweithredol i storio neu ddiweddaru gwybodaeth - yn cyd-fynd yn berffaith â natur asyncronig, a yrrir gan ddigwyddiadau, cyfrifiadura niwromorffig, gan arwain at bron dim defnydd pŵer pan fydd y system yn segur.Mae trawsddygiaduron ultrasonic piezoelectrig wedi'u micro-beiriannu (pMUTs) yn drosglwyddyddion ultrasonic rhad, wedi'u seilio ar silicon, sy'n gallu gweithredu fel trosglwyddyddion a derbynyddion30,31,32,33,34.Er mwyn prosesu'r signalau a dderbyniwyd gan y synwyryddion adeiledig, cawsom ein hysbrydoli gan niwroanatomi tylluanod gwynion35,36,37.Mae’r dylluan wen Tyto alba yn adnabyddus am ei galluoedd hela hynod gyda’r nos diolch i system leoleiddio clywedol effeithlon iawn.I gyfrifo lleoliad ysglyfaeth, mae system leoleiddio'r dylluan wen yn amgodio'r amser hedfan (ToF) pan fydd tonnau sain o'r ysglyfaeth yn cyrraedd pob un o glustiau neu dderbynyddion sain y dylluan.O ystyried y pellter rhwng y clustiau, mae'r gwahaniaeth rhwng y ddau fesuriad ToF (Gwahaniaeth Amser Rhyngwraidd, ITD) yn ei gwneud hi'n bosibl cyfrifo lleoliad azimuth y targed yn ddadansoddol.Er nad yw systemau biolegol yn addas iawn ar gyfer datrys hafaliadau algebraidd, gallant ddatrys problemau lleoleiddio yn effeithiol iawn.Mae system nerfol y dylluan wen yn defnyddio set o niwronau synhwyro cyd-ddigwyddiad (CD)35 (hy, niwronau sy'n gallu canfod cydberthynas amserol rhwng pigau sy'n ymledu i lawr i derfyniadau cynhyrfus cydgyfeiriol)38,39 wedi'u trefnu'n graffiau cyfrifiannol i ddatrys problemau lleoli.
Mae ymchwil flaenorol wedi dangos bod caledwedd metel-ocsid-lled-ddargludyddion cyflenwol (CMOS) a chaledwedd niwromorffig seiliedig ar RRAM a ysbrydolwyd gan y colliculus israddol (“cortecs clywedol”) y dylluan wen yn ddull effeithlon o gyfrifo safle gan ddefnyddio ITD13, 40, 41, 42, 43 , 44, 45, 46. Fodd bynnag, nid yw potensial systemau niwromorffig cyflawn sy'n cysylltu ciwiau clywedol â graffiau cyfrifiadol niwromorffig wedi'i ddangos eto.Y brif broblem yw amrywioldeb cynhenid ​​cylchedau CMOS analog, sy'n effeithio ar gywirdeb canfod gemau.Yn ddiweddar, dangoswyd gweithrediadau rhifiadol amgen o'r amcangyfrifon ITD47.Yn y papur hwn, rydym yn cynnig defnyddio gallu RRAM i newid y gwerth dargludiant mewn modd anweddol i wrthweithio amrywioldeb mewn cylchedau analog.Fe wnaethom weithredu system arbrofol sy'n cynnwys un bilen trawsyrru pMUT yn gweithredu ar amledd o 111.9 kHz, dwy bilen derbyn pMUT (synwyryddion) yn efelychu clustiau tylluanod gwynion, ac un .Fe wnaethom nodweddu'r system ganfod pMUT yn arbrofol a'r graff cyfrifiannol ITD seiliedig ar RRAM i brofi ein system leoleiddio a gwerthuso ei chydraniad onglog.
Rydym yn cymharu ein dull â gweithrediad digidol ar ficroreolydd sy'n cyflawni'r un dasg leoleiddio gan ddefnyddio dulliau trawsyrru confensiynol neu ddulliau niwromorffig, yn ogystal ag arae giât rhaglenadwy maes (FPGA) ar gyfer amcangyfrif ITD a gynigir yn y cyfeirnod.47. Mae'r gymhariaeth hon yn amlygu effeithlonrwydd pŵer cystadleuol y system niwromorffig analog seiliedig ar RRAM arfaethedig.
Mae un o'r enghreifftiau mwyaf trawiadol o system leoleiddio gwrthrychau cywir ac effeithlon i'w weld yn y dylluan wen35,37,48.Gyda'r cyfnos a'r wawr, mae'r dylluan wen (Tyto Alba) yn dibynnu'n bennaf ar wrando goddefol, gan fynd ati i chwilio am ysglyfaeth fach fel llygod pengrwn neu lygod.Gall yr arbenigwyr clywedol hyn leoleiddio signalau clywedol o ysglyfaeth gyda chywirdeb rhyfeddol (tua 2°)35, fel y dangosir yn Ffig. 1a.Mae tylluanod gwyn yn casglu lleoliad ffynonellau sain yn yr awyren azimuth (llorweddol) o'r gwahaniaeth yn yr amser hedfan sy'n dod i mewn (ITD) o'r ffynhonnell sain i'r ddwy glust.Cynigiwyd y mecanwaith cyfrifiadurol ITD gan Jeffress49,50 sy'n dibynnu ar geometreg niwral ac sy'n gofyn am ddwy gydran allweddol: axon, ffibr nerf niwron yn gweithredu fel llinell oedi, ac amrywiaeth o niwronau canfod cyd-ddigwyddiad wedi'u trefnu'n system gyfrifiadol.graff fel y dangosir yn Ffigur 1b.Mae'r sain yn cyrraedd y glust gydag oedi amser dibynnol ar azimuth (ITD).Yna caiff y sain ei drawsnewid yn batrwm pigyn ym mhob clust.Mae acsonau'r clustiau chwith a dde yn gweithredu fel llinellau oedi ac yn cydgyfeirio ar niwronau CD.Yn ddamcaniaethol, dim ond un niwron mewn amrywiaeth o niwronau cyfatebol fydd yn derbyn mewnbwn ar y tro (lle mae'r oedi'n canslo'n union) a bydd yn tanio i'r eithaf (bydd celloedd cyfagos hefyd yn tanio, ond ar amlder is).Mae actifadu niwronau penodol yn amgodio lleoliad y targed yn y gofod heb drosi'r ITD ymhellach i onglau.Crynhoir y cysyniad hwn yn Ffigur 1c: er enghraifft, os yw'r sain yn dod o'r ochr dde pan fydd y signal mewnbwn o'r glust dde yn teithio llwybr hirach na'r llwybr o'r glust chwith, gan wneud iawn am nifer yr ITDs, er enghraifft, pan fydd niwron 2 yn cyfateb.Mewn geiriau eraill, mae pob CD yn ymateb i ITD penodol (a elwir hefyd yn oedi optimaidd) oherwydd oedi echelinol.Felly, mae'r ymennydd yn trosi gwybodaeth amserol yn wybodaeth ofodol.Darganfuwyd tystiolaeth anatomegol ar gyfer y mecanwaith hwn37,51.Mae niwronau macroniwclews sydd wedi'u cloi fesul cam yn storio gwybodaeth amserol am synau sy'n dod i mewn: fel y mae eu henw'n awgrymu, maent yn tanio mewn cyfnodau signal penodol.Gellir dod o hyd i niwronau synhwyro cyd-ddigwyddiad o fodel Jeffress yn y craidd laminaidd.Maent yn derbyn gwybodaeth gan niwronau macroniwclear, y mae eu haxonau yn gweithredu fel llinellau oedi.Gellir esbonio faint o oedi a ddarperir gan y llinell oedi gan hyd yr axon, yn ogystal â phatrwm myelination arall sy'n newid y cyflymder dargludiad.Wedi’n hysbrydoli gan system glywedol y dylluan wen, rydym wedi datblygu system biomimetig ar gyfer lleoli gwrthrychau.Cynrychiolir y ddwy glust gan ddau dderbynnydd pMUT.Y ffynhonnell sain yw'r trosglwyddydd pMUT sydd wedi'i leoli rhyngddynt (Ffig. 1a), ac mae'r graff cyfrifiannol yn cael ei ffurfio gan grid o gylchedau CD sy'n seiliedig ar RRAM (Ffig. 1b, gwyrdd), gan chwarae rôl niwronau CD y mae eu mewnbynnau yn cael eu gohirio.trwy'r gylched, mae'r llinellau oedi (glas) yn gweithredu fel acsonau yn y gwrthran biolegol.Mae amlder gweithredu'r system synhwyraidd arfaethedig yn wahanol i amlder gweithredu'r dylluan, y mae ei system glywedol yn gweithredu yn yr ystod 1–8 kHz, ond defnyddir synwyryddion pMUT sy'n gweithredu ar tua 117 kHz yn y gwaith hwn.Ystyrir dewis transducer ultrasonic yn unol â meini prawf technegol ac optimeiddio.Yn gyntaf, mae cyfyngu'r lled band derbyn i un amledd yn ddelfrydol yn gwella cywirdeb mesur ac yn symleiddio'r cam ôl-brosesu.Yn ogystal, mae gan weithrediad uwchsain y fantais nad yw'r corbys a allyrrir yn glywadwy, felly peidiwch ag aflonyddu ar bobl, gan fod eu hystod clywedol yn ~20-20 kHz.
mae'r dylluan wen yn derbyn tonnau sain o darged, yn yr achos hwn yn symud ysglyfaeth.Mae amser hedfan (ToF) y don sain yn wahanol ar gyfer pob clust (oni bai bod yr ysglyfaeth yn union o flaen y dylluan).Mae'r llinell ddotiog yn dangos y llwybr y mae tonnau sain yn ei gymryd i gyrraedd clustiau'r dylluan wen.Gellir lleoli ysglyfaeth yn gywir yn yr awyren lorweddol yn seiliedig ar y gwahaniaeth hyd rhwng y ddau lwybr acwstig a'r gwahaniaeth amser rhyngwrol cyfatebol (ITD) (delwedd chwith wedi'i hysbrydoli gan gyf. 74, hawlfraint 2002, Cymdeithas Niwrowyddoniaeth).Yn ein system, mae'r trosglwyddydd pMUT (glas tywyll) yn cynhyrchu tonnau sain sy'n bownsio oddi ar y targed.Mae tonnau uwchsain adlewyrchiedig yn cael eu derbyn gan ddau dderbynnydd pMUT (gwyrdd golau) a'u prosesu gan y prosesydd niwromorffig (dde).b Model cyfrifiadurol ITD (Jeffress) yn disgrifio sut mae seiniau sy'n mynd i mewn i glustiau'r dylluan wen yn cael eu hamgodio gyntaf fel pigau wedi'u cloi fesul cam yn y cnewyllyn mawr (NM) ac yna'n defnyddio grid wedi'i drefnu'n geometrig o niwronau synhwyro cyfatebol yn y niwclews lamellar.Prosesu (Yr Iseldiroedd) (chwith).Yn ddarlun o graff cyfrifiannol niwroITD sy'n cyfuno llinellau oedi a niwronau synhwyro cyd-ddigwyddiad, gellir modelu'r system biosynhwyrydd tylluanod gan ddefnyddio cylchedau niwromorffig sy'n seiliedig ar RRAM (dde).c Sgematig o brif fecanwaith Jeffress, oherwydd y gwahaniaeth mewn ToF, mae'r ddwy glust yn derbyn ysgogiadau sain ar wahanol adegau ac yn anfon acsonau o'r ddau ben i'r synhwyrydd.Mae'r acsonau yn rhan o gyfres o niwronau synhwyro cyd-ddigwyddiad (CD), y mae pob un ohonynt yn ymateb yn ddetholus i fewnbynnau cydberthynas amser cryf.O ganlyniad, dim ond CDs y mae eu mewnbynnau'n cyrraedd gyda'r gwahaniaeth amser lleiaf yn cael eu cyffroi i'r eithaf (ITD yn union iawndal).Bydd y CD wedyn yn amgodio safle onglog y targed.
Mae trawsddygiaduron ultrasonic microfecanyddol piezoelectrig yn drosglwyddyddion ultrasonic graddadwy y gellir eu hintegreiddio â thechnoleg CMOS uwch31,32,33,52 ac mae ganddynt foltedd cychwynnol a defnydd pŵer is na thrawsddygiadurwyr cyfeintiol traddodiadol53.Yn ein gwaith, diamedr y bilen yw 880 µm, ac mae'r amledd soniarus yn cael ei ddosbarthu yn yr ystod o 110-117 kHz (Ffig. 2a, gweler Dulliau am fanylion).Mewn swp o ddeg dyfais prawf, y ffactor ansawdd cyfartalog oedd tua 50 (cyf. 31).Mae'r dechnoleg wedi cyrraedd aeddfedrwydd diwydiannol ac nid yw wedi'i bio-ysbrydoli fel y cyfryw.Mae cyfuno gwybodaeth o wahanol ffilmiau pMUT yn dechneg adnabyddus, a gellir cael gwybodaeth onglau o pMUTs gan ddefnyddio, er enghraifft, technegau trawst31,54.Fodd bynnag, nid yw'r prosesu signal sydd ei angen i dynnu'r wybodaeth ongl yn addas ar gyfer mesuriadau pŵer isel.Mae'r system arfaethedig yn cyfuno'r gylched rhagbrosesu data niwromorffig pMUT â graff cyfrifiadura niwromorffig seiliedig ar RRAM a ysbrydolwyd gan fodel Jeffress (Ffigur 2c), gan ddarparu datrysiad caledwedd amgen sy'n effeithlon o ran ynni ac sy'n gyfyngedig o ran adnoddau.Gwnaethom arbrawf lle gosodwyd dau synhwyrydd pMUT tua 10 cm oddi wrth ei gilydd i fanteisio ar y gwahanol synau ToF a dderbynnir gan y ddwy bilen sy'n derbyn.Mae un pMUT sy'n gweithredu fel trosglwyddydd yn eistedd rhwng y derbynyddion.Y targed oedd plât PVC 12 cm o led, wedi'i leoli ar bellter D o flaen y ddyfais pMUT (Ffig. 2b).Mae'r derbynnydd yn cofnodi'r sain a adlewyrchir o'r gwrthrych ac yn adweithio cymaint â phosibl yn ystod taith y don sain.Ailadroddwch yr arbrawf trwy newid safle'r gwrthrych, a bennir gan y pellter D a'r ongl θ.Wedi'i ysbrydoli gan ddolen.55, rydym yn cynnig rhag-brosesu niwromorffig o signalau crai pMUT i drosi tonnau adlewyrchiedig yn gopaon i fewnbynnu graff cyfrifiadol niwromorffig.Mae'r ToF sy'n cyfateb i'r osgled brig yn cael ei dynnu o bob un o'r ddwy sianel a'i amgodio fel union amseriad y brigau unigol.Ar ffig.Mae 2c yn dangos y cylchedwaith sydd ei angen i ryngwynebu'r synhwyrydd pMUT â graff cyfrifiannol sy'n seiliedig ar RRAM: ar gyfer pob un o'r ddau dderbynnydd pMUT, mae'r signal crai yn cael ei hidlo pas-band i llyfnu, cywiro, ac yna'n cael ei drosglwyddo i'r integreiddiwr sy'n gollwng yn y modd goresgyn.mae'r trothwy deinamig (Ffig. 2d) yn creu digwyddiad allbwn (spike) a thanio (LIF) niwron: mae'r amser pigyn allbwn yn amgodio'r amser hedfan a ganfyddir.Mae'r trothwy LIF yn cael ei raddnodi yn erbyn yr ymateb pMUT, a thrwy hynny leihau amrywioldeb pMUT o ddyfais i ddyfais.Gyda'r dull hwn, yn lle storio'r ton sain gyfan yn y cof a'i phrosesu yn ddiweddarach, rydym yn syml yn cynhyrchu brig sy'n cyfateb i ToF y don sain, sy'n ffurfio'r mewnbwn i'r graff cyfrifiannol cof gwrthiannol.Mae'r pigau'n cael eu hanfon yn uniongyrchol i'r llinellau oedi a'u cyfochri â modiwlau canfod gemau mewn graffiau cyfrifiant niwromorffig.Oherwydd eu bod yn cael eu hanfon at gatiau'r transistorau, nid oes angen cylchedau mwyhau ychwanegol (gweler Ffig. 4 Atodol am fanylion).Er mwyn gwerthuso'r cywirdeb onglog lleoleiddio a ddarperir gan pMUT a'r dull prosesu signal arfaethedig, gwnaethom fesur yr ITD (hynny yw, y gwahaniaeth mewn amser rhwng digwyddiadau brig a gynhyrchir gan ddau dderbynnydd) wrth i bellter ac ongl y gwrthrych amrywio.Yna troswyd y dadansoddiad ITD yn onglau (gweler Dulliau) a'i blotio yn erbyn safle'r gwrthrych: cynyddodd yr ansicrwydd yn yr ITD a fesurwyd gyda phellter ac ongl i'r gwrthrych (Ffig. 2e,f).Y brif broblem yw'r gymhareb brig-i-sŵn (PNR) yn yr ymateb pMUT.Po bellaf yw'r gwrthrych, yr isaf yw'r signal acwstig, a thrwy hynny leihau'r PNR (Ffig. 2f, llinell werdd).Mae gostyngiad mewn PNR yn arwain at gynnydd mewn ansicrwydd yn yr amcangyfrif ITD, gan arwain at gynnydd mewn cywirdeb lleoleiddio (Ffig. 2f, llinell las).Ar gyfer gwrthrych sydd bellter o 50 cm o'r trosglwyddydd, mae cywirdeb onglog y system tua 10 °.Gellir gwella'r cyfyngiad hwn a osodir gan nodweddion y synhwyrydd.Er enghraifft, gellir cynyddu'r pwysau a anfonir gan yr allyrrydd, a thrwy hynny gynyddu'r foltedd sy'n gyrru'r bilen pMUT.Ateb arall i ymhelaethu ar y signal a drosglwyddir yw cysylltu trosglwyddyddion lluosog 56. Bydd yr atebion hyn yn cynyddu'r ystod canfod ar draul costau ynni cynyddol.Gellir gwneud gwelliannau ychwanegol ar yr ochr dderbyn.Gellir lleihau llawr sŵn derbynnydd y pMUT yn sylweddol trwy wella'r cysylltiad rhwng y pMUT a'r mwyhadur cam cyntaf, sy'n cael ei wneud ar hyn o bryd gyda chysylltiadau gwifren a cheblau RJ45.
Delwedd o grisial pMUT gyda chwe philen 880 µm wedi'u hintegreiddio ar draw 1.5 mm.b Diagram o'r gosodiad mesur.Mae'r targed wedi'i leoli yn safle azimuth θ ac ar bellter D. Mae'r trosglwyddydd pMUT yn cynhyrchu signal 117.6 kHz sy'n bownsio oddi ar y targed ac yn cyrraedd dau dderbynnydd pMUT gyda gwahanol amser hedfan (ToF).Mae'r gwahaniaeth hwn, a ddiffinnir fel y gwahaniaeth amser rhyng-glywedol (ITD), yn amgodio lleoliad gwrthrych a gellir ei amcangyfrif trwy amcangyfrif ymateb brig y ddau synhwyrydd derbynnydd.c Sgematig o gamau rhag-brosesu ar gyfer trosi'r signal pMUT amrwd yn ddilyniannau pigyn (hy mewnbwn i'r graff cyfrifiant niwromorffig).Mae'r synwyryddion pMUT a'r graffiau cyfrifiannol niwromorffig wedi'u ffugio a'u profi, ac mae'r rhag-brosesu niwromorffig yn seiliedig ar efelychiad meddalwedd.d Ymateb y bilen pMUT ar dderbyn signal a'i thrawsnewid yn barth pigyn.e Cywirdeb onglog lleoleiddio arbrofol fel swyddogaeth o ongl gwrthrych (Θ) a phellter (D) i'r gwrthrych targed.Mae'r dull echdynnu ITD yn gofyn am gydraniad onglog lleiafswm o tua 4°C.f Cywirdeb onglog (llinell las) a chymhareb brig-i-sŵn cyfatebol (llinell werdd) yn erbyn pellter gwrthrych ar gyfer Θ = 0.
Mae cof gwrthiannol yn storio gwybodaeth mewn cyflwr dargludol anweddol.Egwyddor sylfaenol y dull yw bod addasu'r deunydd ar y lefel atomig yn achosi newid yn ei ddargludedd trydanol57.Yma rydym yn defnyddio cof gwrthiannol seiliedig ar ocsid sy'n cynnwys haen 5nm o hafnium deuocsid wedi'i wasgu rhwng titaniwm uchaf a gwaelod ac electrodau nitrid titaniwm.Gellir newid dargludedd dyfeisiau RRAM trwy gymhwyso tonffurf cerrynt / foltedd sy'n creu neu'n torri ffilamentau dargludol gwagleoedd ocsigen rhwng yr electrodau.Fe wnaethom ni gyd-integreiddio dyfeisiau o'r fath58 i mewn i broses CMOS 130 nm safonol i greu cylched niwromorffig ail-ffurfweddadwy ffug gan weithredu canfodydd cyd-ddigwyddiad a chylched llinell oedi (Ffig. 3a).Mae natur anweddol ac analog y ddyfais, ynghyd â natur y gylched niwromorffig sy'n cael ei gyrru gan ddigwyddiadau, yn lleihau'r defnydd o bŵer.Mae gan y gylched swyddogaeth syth ymlaen / i ffwrdd: mae'n gweithredu'n syth ar ôl cael ei throi ymlaen, gan ganiatáu i'r pŵer gael ei ddiffodd yn gyfan gwbl pan fydd y gylched yn segur.Dangosir prif flociau adeiladu'r cynllun arfaethedig yn ffig.3b.Mae'n cynnwys strwythurau transistor sengl-gwrthydd sengl cyfochrog N (1T1R) sy'n amgodio pwysau synaptig y cymerir ceryntau pwysol ohonynt, wedi'u chwistrellu i synaps cyffredin integreiddiwr pâr gwahaniaethol (DPI)59, ac yn olaf yn cael eu chwistrellu i'r synaps gydag integreiddio a gollyngiad.niwron wedi'i actifadu (LIF) 60 (gweler Dulliau am fanylion).Mae'r ymchwyddiadau mewnbwn yn cael eu cymhwyso i giât y strwythur 1T1R ar ffurf dilyniant o gorbys foltedd sy'n para tua channoedd o nanoseconds.Gellir gosod cof gwrthiannol mewn cyflwr dargludol uchel (HCS) trwy gymhwyso cyfeiriad positif allanol i Vtop pan fydd Vbottom wedi'i seilio, a'i ailosod i gyflwr dargludol isel (LCS) trwy gymhwyso foltedd positif i Vbottom pan fydd Vtop wedi'i seilio.Gellir rheoli gwerth cyfartalog HCS trwy gyfyngu cerrynt rhaglennu (cydymffurfiaeth) y SET (ICC) gan foltedd porth-ffynhonnell y transistor cyfres (Ffig. 3c).Mae swyddogaethau RRAM yn y gylched yn ddeublyg: maen nhw'n cyfeirio ac yn pwyso'r curiadau mewnbwn.
Delwedd microsgop electron sganio (SEM) o ddyfais HfO2 1T1R RRAM las wedi'i hintegreiddio mewn technoleg CMOS 130 nm gyda transistorau dethol (650 nm o led) mewn gwyrdd.b Blociau adeiladu sylfaenol y sgema niwromorffig arfaethedig.Mae'r corbys foltedd mewnbwn (copaon) Vin0 a Vin1 yn defnyddio Iweight cerrynt, sy'n gymesur â chyflyrau dargludiad G0 a G1 y strwythur 1T1R.Mae'r cerrynt hwn yn cael ei chwistrellu i'r synapsau DPI ac yn cyffroi'r niwronau LIF.Mae RRAM G0 a G1 wedi'u gosod yn HCS a LCS yn y drefn honno.c Swyddogaeth dwysedd dargludiant cronnol ar gyfer grŵp o ddyfeisiau 16K RRAM fel swyddogaeth paru cerrynt ICC, sy'n rheoli'r lefel dargludiad yn effeithiol.d Mesuriadau cylched yn (a) yn dangos bod G1 (yn yr LCS) i bob pwrpas yn blocio mewnbwn o Vin1 (gwyrdd), ac yn wir mae foltedd pilen y niwron allbwn yn ymateb i fewnbwn glas Vin0 yn unig.Mae RRAM yn pennu'r cysylltiadau yn y gylched yn effeithiol.d Mesur y gylched yn (b) yn dangos effaith y gwerth dargludiant G0 ar y foltedd bilen Vmem ar ôl cymhwyso curiad foltedd Vin0.Po fwyaf y dargludedd, y cryfaf yw'r ymateb: felly, mae'r ddyfais RRAM yn gweithredu pwysiad cysylltiad I/O.Gwnaed mesuriadau ar y gylched ac maent yn dangos swyddogaeth ddeuol RRAM, llwybro a phwysoli corbys mewnbwn.
Yn gyntaf, gan fod yna ddau gyflwr dargludiad sylfaenol (HCS a LCS), gall RRAMs rwystro neu fethu corbys mewnbwn pan fyddant yn y taleithiau LCS neu HCS, yn y drefn honno.O ganlyniad, mae RRAM yn pennu'r cysylltiadau yn y gylched yn effeithiol.Dyma'r sail ar gyfer gallu ad-drefnu'r bensaernïaeth.I ddangos hyn, byddwn yn disgrifio gweithrediad cylched ffug o'r bloc cylched yn Ffig. 3b.Mae'r RRAM sy'n cyfateb i G0 wedi'i raglennu i'r HCS, ac mae'r ail RRAM G1 wedi'i raglennu i'r LCS.Rhoddir corbys mewnbwn ar Vin0 a Vin1.Dadansoddwyd effeithiau dau ddilyniant o gorbys mewnbwn yn y niwronau allbwn trwy gasglu foltedd y bilen niwron a'r signal allbwn gan ddefnyddio osgilosgop.Roedd yr arbrawf yn llwyddiannus pan mai dim ond y ddyfais HCS (G0) oedd wedi'i gysylltu â phwls y niwron i ysgogi tensiwn pilen.Dangosir hyn yn Ffigur 3d, lle mae'r trên pwls glas yn achosi i foltedd y bilen gronni ar gynhwysydd y bilen, tra bod y trên pwls gwyrdd yn cadw foltedd y bilen yn gyson.
Ail swyddogaeth bwysig RRAM yw gweithredu pwysau cysylltiad.Gan ddefnyddio addasiad dargludedd analog RRAM, gellir pwysoli cysylltiadau I/O yn unol â hynny.Yn yr ail arbrawf, rhaglennwyd y ddyfais G0 i wahanol lefelau o HCS, a chymhwyswyd y pwls mewnbwn i'r mewnbwn VIn0.Mae'r pwls mewnbwn yn tynnu cerrynt (Iweight) o'r ddyfais, sy'n gymesur â'r dargludiant a'r gostyngiad potensial cyfatebol Vtop - Vbot.Yna caiff y cerrynt pwysol hwn ei chwistrellu i'r synapsau DPI a niwronau allbwn LIF.Cofnodwyd foltedd pilen y niwronau allbwn gan ddefnyddio osgilosgop a'i arddangos yn Ffig. 3d.Mae uchafbwynt foltedd y bilen niwron mewn ymateb i un curiad mewnbwn yn gymesur â dargludiant y cof gwrthiannol, gan ddangos y gellir defnyddio RRAM fel elfen rhaglenadwy o bwysau synaptig.Mae'r ddau brawf rhagarweiniol hyn yn dangos bod y llwyfan niwromorffig arfaethedig sy'n seiliedig ar RRAM yn gallu gweithredu elfennau sylfaenol mecanwaith sylfaenol Jeffress, sef y llinell oedi a'r cylched canfod cyd-ddigwyddiad.Mae'r llwyfan cylched yn cael ei adeiladu trwy bentyrru blociau olynol ochr yn ochr, fel y blociau yn Ffigur 3b, a chysylltu eu gatiau â llinell fewnbwn gyffredin.Fe wnaethom ddylunio, gwneud a phrofi llwyfan niwromorffig sy'n cynnwys dau niwron allbwn yn derbyn dau fewnbwn (Ffig. 4a).Dangosir y diagram cylched yn Ffigur 4b.Mae'r matrics RRAM 2 × 2 uchaf yn caniatáu i gorbys mewnbwn gael eu cyfeirio at ddau niwron allbwn, tra bod y matrics 2 × 2 isaf yn caniatáu cysylltiadau rheolaidd o ddau niwron (N0, N1).Rydym yn dangos y gellir defnyddio'r platfform hwn gyda chyfluniad llinell oedi a dwy swyddogaeth canfod cyd-ddigwyddiad gwahanol, fel y dangosir gan fesuriadau arbrofol yn Ffig. 4c-e.
Diagram cylched a ffurfiwyd gan ddau niwron allbwn N0 ac N1 yn derbyn dau fewnbwn 0 ac 1. Mae pedwar dyfais uchaf yr arae yn diffinio cysylltiadau synaptig o fewnbwn i allbwn, ac mae'r pedair cell isaf yn diffinio cysylltiadau rheolaidd rhwng niwronau.Mae'r RRAMs lliw yn cynrychioli'r dyfeisiau sydd wedi'u ffurfweddu yn yr HCS ar y dde: mae'r dyfeisiau yn yr HCS yn caniatáu cysylltiadau ac yn cynrychioli pwysau, tra bod y dyfeisiau yn y blociau LCS yn mewnbynnu corbys ac yn analluogi cysylltiadau ag allbynnau.b Diagram o gylched (a) gydag wyth modiwl RRAM wedi'u hamlygu mewn glas.c Mae llinellau oedi yn cael eu ffurfio trwy ddefnyddio dynameg synapsau DPI a niwronau LIF yn unig.Mae'r RRAM gwyrdd wedi'i osod i ddargludedd sy'n ddigon uchel i allu achosi nam yn yr allbwn ar ôl yr oedi mewnbwn Δt.d Darlun sgematig o CD synhwyro cyfeiriad-ganfod signalau sy'n dibynnu ar amser.Mae niwron allbwn 1, N1, yn tanio ar fewnbynnau 0 ac 1 gydag oedi byr.d Cylched CD sensitif i gyfeiriad, cylched sy'n canfod pan fydd mewnbwn 1 yn nesáu at fewnbwn 0 ac yn cyrraedd ar ôl mewnbwn 0. Cynrychiolir allbwn y gylched gan niwron 1 (N1).
Mae'r llinell oedi (Ffigur 4c) yn syml yn defnyddio ymddygiad deinamig synapsau DPI a niwronau LIF i atgynhyrchu'r pigyn mewnbwn o Vin1 i Vout1 trwy ohirio Tdel.Dim ond yr RRAM G3 sy'n gysylltiedig â Vin1 a Vout1 sydd wedi'i raglennu yn HCS, mae gweddill yr RRAMs wedi'u rhaglennu yn LCS.Rhaglennwyd y ddyfais G3 ar gyfer 92.6 µs i sicrhau bod pob pwls mewnbwn yn cynyddu foltedd pilen y niwron allbwn yn ddigonol i gyrraedd y trothwy a chynhyrchu pwls allbwn oedi.Mae'r oedi Tdel yn cael ei bennu gan y cysonion amser synaptig a niwral.Mae synwyryddion cyd-ddigwyddiad yn canfod achosion o signalau mewnbwn sy'n cydberthyn yn amserol ond wedi'u dosbarthu'n ofodol.Mae CD ansensitif i gyfeiriad yn dibynnu ar fewnbynnau unigol sy'n cydgyfeirio i niwron allbwn cyffredin (Ffigur 4d).Mae'r ddau RRAM sy'n cysylltu Vin0 a Vin1 â Vout1, G2 a G4 yn y drefn honno wedi'u rhaglennu ar gyfer dargludiad uchel.Mae dyfodiad pigau ar Vin0 a Vin1 ar yr un pryd yn cynyddu foltedd y bilen niwron N1 uwchlaw'r trothwy sydd ei angen i gynhyrchu'r pigyn allbwn.Os yw'r ddau fewnbwn yn rhy bell oddi wrth ei gilydd mewn amser, efallai y bydd gan y tâl ar y foltedd bilen a gronnwyd gan y mewnbwn cyntaf amser i bydru, gan atal y potensial bilen N1 rhag cyrraedd y gwerth trothwy.Mae G1 a G2 wedi'u rhaglennu ar gyfer tua 65 µs, sy'n sicrhau nad yw ymchwydd mewnbwn sengl yn cynyddu foltedd y bilen ddigon i achosi ymchwydd allbwn.Mae canfod cyd-ddigwyddiad rhwng digwyddiadau a ddosberthir mewn gofod ac amser yn weithrediad sylfaenol a ddefnyddir mewn ystod eang o dasgau synhwyro megis osgoi rhwystrau ar sail llif optegol a lleoleiddio ffynonellau sain.Felly, mae cyfrifiadura cryno ddisgiau sy'n sensitif i gyfeiriad ac yn ansensitif yn floc adeiladu sylfaenol ar gyfer adeiladu systemau lleoleiddio gweledol a sain.Fel y dangosir gan nodweddion y cysonion amser (gweler Ffigur Atodol 2), mae'r gylched arfaethedig yn gweithredu ystod addas o bedwar gradd graddfeydd amser maint.Felly, gall fodloni gofynion systemau gweledol a sain ar yr un pryd.Mae CD sy'n sensitif i gyfeiriadol yn gylched sy'n sensitif i drefn ofodol dyfodiad corbys: o'r dde i'r chwith ac i'r gwrthwyneb.Mae'n floc adeiladu sylfaenol yn rhwydwaith canfod mudiant sylfaenol system weledol Drosophila, a ddefnyddir i gyfrifo cyfarwyddiadau mudiant a chanfod gwrthdrawiadau62.Er mwyn cyflawni CD sy'n sensitif i gyfeiriad, rhaid cyfeirio dau fewnbwn at ddau niwron gwahanol (N0, N1) a rhaid sefydlu cysylltiad cyfeiriadol rhyngddynt (Ffig. 4e).Pan dderbynnir y mewnbwn cyntaf, mae NO yn adweithio trwy gynyddu'r foltedd ar draws ei bilen uwchlaw'r gwerth trothwy ac anfon ymchwydd.Mae'r digwyddiad allbwn hwn, yn ei dro, yn tanio N1 diolch i'r cysylltiad cyfeiriadol a amlygir mewn gwyrdd.Os yw digwyddiad mewnbwn Vin1 yn cyrraedd ac yn bywiogi N1 tra bod ei foltedd pilen yn dal yn uchel, mae N1 yn cynhyrchu digwyddiad allbwn sy'n nodi bod cyfatebiaeth wedi'i ganfod rhwng y ddau fewnbwn.Mae cysylltiadau cyfeiriadol yn caniatáu i'r N1 allyrru allbwn dim ond os daw mewnbwn 1 ar ôl mewnbwn 0. Mae G0, G3, a G7 wedi'u rhaglennu i 73.5 µS, 67.3 µS, a 40.2 µS, yn y drefn honno, gan sicrhau bod pigyn sengl ar y mewnbwn Vin0 yn achosi oedi pigyn allbwn, tra bod potensial bilen N1 ond yn cyrraedd y trothwy pan fydd y ddau byrstio mewnbwn yn cyrraedd cysoni..
Mae amrywioldeb yn ffynhonnell o amherffeithrwydd mewn systemau niwromorffig wedi'u modelu63,64,65.Mae hyn yn arwain at ymddygiad heterogenaidd niwronau a synapsau.Mae enghreifftiau o anfanteision o'r fath yn cynnwys amrywiad o 30% (gwyriad safonol cymedrig) mewn cynnydd mewnbwn, cysonyn amser, a chyfnod anhydrin, i enwi dim ond rhai (gweler Dulliau).Mae'r broblem hon hyd yn oed yn fwy amlwg pan fydd cylchedau niwral lluosog wedi'u cysylltu â'i gilydd, fel CD sy'n sensitif i gyfeiriadedd sy'n cynnwys dau niwron.Er mwyn gweithio'n iawn, dylai cysonion amser cynnydd a dadfeiliad y ddau niwron fod mor debyg â phosibl.Er enghraifft, gall gwahaniaeth mawr mewn cynnydd mewnbwn achosi i un niwron or-ymateb i guriad mewnbwn tra bod y niwron arall prin yn ymatebol.Ar ffig.Mae Ffigur 5a yn dangos bod niwronau a ddewiswyd ar hap yn ymateb yn wahanol i'r un curiad mewnbwn.Mae'r amrywioldeb niwral hwn yn berthnasol, er enghraifft, i swyddogaeth cryno ddisgiau sy'n sensitif i gyfeiriad.Yn y cynllun a ddangosir yn ffig.5b, c, mae cynnydd mewnbwn niwron 1 yn llawer uwch na chynnydd niwron 0. Felly, mae angen tri churiad mewnbwn ar niwron 0 (yn lle 1) i gyrraedd y trothwy, ac mae angen dau ddigwyddiad mewnbwn ar niwron 1, yn ôl y disgwyl.Mae gweithredu plastigrwydd biomimetig cyflym-ddibynnol ar amser (STDP) yn ffordd bosibl o liniaru effaith cylchedau niwral a synaptig anfanwl a swrth ar berfformiad system43.Yma rydym yn cynnig defnyddio ymddygiad plastig cof gwrthiannol fel modd o ddylanwadu ar wella mewnbwn niwral a lleihau effeithiau amrywioldeb mewn cylchedau niwromorffig.Fel y dangosir yn ffig.4e, roedd lefelau dargludiant sy'n gysylltiedig â màs synaptig RRAM yn modiwleiddio'r ymateb foltedd bilen niwral cyfatebol yn effeithiol.Rydym yn defnyddio strategaeth rhaglennu RRAM ailadroddus.Ar gyfer mewnbwn penodol, mae gwerthoedd dargludiant y pwysau synaptig yn cael eu hailraglennu hyd nes y ceir ymddygiad targed y gylched (gweler Dulliau).
a Mesuriadau arbrofol o ymateb naw niwron unigol a ddewiswyd ar hap i'r un curiad mewnbwn.Mae'r ymateb yn amrywio ar draws poblogaethau, gan effeithio ar gynnydd mewnbwn a chysondeb amser.b Mesuriadau arbrofol o ddylanwad niwronau ar amrywioldeb niwronau sy'n effeithio ar CD sy'n sensitif i gyfeiriad.Mae'r ddau niwron allbwn CD sy'n sensitif i gyfeiriad yn ymateb yn wahanol i ysgogiadau mewnbwn oherwydd amrywioldeb niwron-i-niwron.Mae gan niwron 0 gynnydd mewnbwn is na niwron 1, felly mae'n cymryd tri churiad mewnbwn (yn lle 1) i greu pigyn allbwn.Yn ôl y disgwyl, mae niwron 1 yn cyrraedd y trothwy gyda dau ddigwyddiad mewnbwn.Os yw mewnbwn 1 yn cyrraedd Δt = 50 µs ar ôl tanau niwron 0, mae CD yn aros yn dawel oherwydd bod Δt yn fwy na chysonyn amser niwron 1 (tua 22 µs).gostyngir c gan Δt = 20 µs, fel bod mewnbwn 1 ar ei uchaf pan fydd tanio niwron 1′ yn dal yn uchel, gan arwain at ganfod dau ddigwyddiad mewnbwn ar yr un pryd.
Y ddwy elfen a ddefnyddir yn y golofn cyfrifo ITD yw'r llinell oedi a'r CD cyfeiriad ansensitif.Mae angen graddnodi manwl gywir ar y ddwy gylched i sicrhau perfformiad lleoli gwrthrychau da.Rhaid i'r llinell oedi gyflwyno fersiwn sydd wedi'i gohirio'n union o'r brig mewnbwn (Ffig. 6a), a dim ond pan fydd y mewnbwn yn dod o fewn yr ystod canfod targed y mae'n rhaid actifadu'r CD.Ar gyfer y llinell oedi, cafodd pwysau synaptig y cysylltiadau mewnbwn (G3 yn Ffig. 4a) eu hailraglennu hyd nes y cafwyd yr oedi targed.Gosodwch oddefgarwch o amgylch yr oedi targed i atal y rhaglen: y lleiaf yw'r goddefgarwch, y mwyaf anodd yw gosod y llinell oedi yn llwyddiannus.Ar ffig.Mae Ffigur 6b yn dangos canlyniadau'r broses graddnodi llinell oedi: gellir gweld y gall y cynllun arfaethedig ddarparu'n union yr holl oedi sydd ei angen yn y cynllun dylunio (o 10 i 300 μs).Mae'r nifer uchaf o iteriadau graddnodi yn effeithio ar ansawdd y broses raddnodi: gall 200 iteriad leihau'r gwall i lai na 5%.Mae un iteriad graddnodi yn cyfateb i weithrediad set/ailosod cell RRAM.Mae'r broses diwnio hefyd yn hanfodol i wella cywirdeb canfod digwyddiad agos modiwl CD ar unwaith.Cymerodd ddeg iteriad graddnodi i gyflawni cyfradd gadarnhaol wirioneddol (hy, cyfradd y digwyddiadau a nodwyd yn gywir fel rhai perthnasol) uwchlaw 95% (llinell las yn Ffigur 6c).Fodd bynnag, nid oedd y broses diwnio yn effeithio ar ddigwyddiadau positif ffug (hynny yw, amlder digwyddiadau a nodwyd ar gam fel rhai perthnasol).Dull arall a welir mewn systemau biolegol ar gyfer goresgyn cyfyngiadau amser llwybrau sy'n gweithredu'n gyflym yw diswyddo (hynny yw, defnyddir llawer o gopïau o'r un gwrthrych i gyflawni swyddogaeth benodol).Wedi'i ysbrydoli gan fioleg66, fe wnaethom osod sawl cylched CD ym mhob modiwl CD rhwng y ddwy linell oedi i leihau effaith positifau ffug.Fel y dangosir yn ffig.6c (llinell werdd), gall gosod tair elfen CD ym mhob modiwl CD leihau'r gyfradd larwm ffug i lai na 10-2.
a Effaith amrywioldeb niwronaidd ar gylchedau llinell oedi.b Gellir graddio cylchedau llinell oedi i oedi mawr trwy osod cysonion amser y niwronau LIF cyfatebol a synapsau DPI i werthoedd mawr.Roedd cynyddu nifer yr iteriadau o weithdrefn graddnodi RRAM yn ei gwneud hi'n bosibl gwella cywirdeb yr oedi targed yn sylweddol: gostyngodd 200 iteriad y gwall i lai na 5%.Mae un iteriad yn cyfateb i weithrediad SET/RESET ar gell RRAM.Gellir gweithredu pob modiwl CD ym model c Jeffress gan ddefnyddio elfennau CD cyfochrog N ar gyfer mwy o hyblygrwydd o ran methiannau system.d Mae mwy o iteriadau graddnodi RRAM yn cynyddu'r gyfradd wir bositif (llinell las), tra bod y gyfradd bositif ffug yn annibynnol ar nifer yr iteriadau (llinell werdd).Mae gosod mwy o elfennau CD yn gyfochrog yn osgoi canfod gemau modiwl CD ar gam.
Rydym bellach yn gwerthuso perfformiad a defnydd pŵer y system leoleiddio gwrthrychau integredig o un pen i'r llall a ddangosir yn Ffigur 2 gan ddefnyddio mesuriadau o briodweddau acwstig y synhwyrydd pMUT, CD, a chylchedau llinell oedi sy'n ffurfio'r graff cyfrifiadura niwromorffig.Model Jeffress (Ffig. 1a).O ran y graff cyfrifiadura niwromorffig, y mwyaf yw nifer y modiwlau CD, y gorau yw'r cydraniad onglog, ond hefyd po uchaf yw egni'r system (Ffig. 7a).Gellir cyrraedd cyfaddawd trwy gymharu cywirdeb cydrannau unigol (synwyryddion pMUT, niwronau, a chylchedau synaptig) â chywirdeb y system gyfan.Mae cydraniad y llinell oedi wedi'i gyfyngu gan gysonion amser y synapsau a niwronau efelychiedig, sydd yn ein cynllun ni yn fwy na 10 µs, sy'n cyfateb i gydraniad onglog o 4 ° (gweler Dulliau).Bydd nodau mwy datblygedig gyda thechnoleg CMOS yn caniatáu dylunio cylchedau niwral a synaptig gyda chysonion amser is, gan arwain at gywirdeb uwch o'r elfennau llinell oedi.Fodd bynnag, yn ein system, mae'r cywirdeb wedi'i gyfyngu gan y gwall pMUT wrth amcangyfrif y safle onglog, hy 10° (llinell lorweddol las yn Ffig. 7a).Fe wnaethom osod nifer y modiwlau CD ar 40, sy'n cyfateb i gydraniad onglog o tua 4°, hy, cywirdeb onglog y graff cyfrifiannol (llinell lorweddol las golau yn Ffig. 7a).Ar lefel y system, mae hyn yn rhoi cydraniad o 4 ° a chywirdeb o 10 ° ar gyfer gwrthrychau sydd wedi'u lleoli 50 cm o flaen y system synhwyrydd.Mae'r gwerth hwn yn debyg i'r systemau lleoleiddio sain niwromorffig a adroddwyd yn cyf.67. Ceir cymhariaeth o'r system arfaethedig â'r diweddaraf yn Nhabl Atodol 1. Mae ychwanegu pMUTs ychwanegol, cynyddu lefel y signal acwstig, a lleihau sŵn electronig yn ffyrdd posibl o wella cywirdeb lleoleiddio ymhellach.) yn cael ei amcangyfrif yn 9.7.nz.55. O ystyried 40 uned CD ar y graff cyfrifiannol, amcangyfrifodd efelychiad SPICE mai'r egni fesul gweithrediad (hy, egni lleoli gwrthrych) oedd 21.6 nJ.Mae'r system niwromorffig yn cael ei actifadu dim ond pan fydd digwyddiad mewnbwn yn cyrraedd, hy pan fydd ton acwstig yn cyrraedd unrhyw dderbynnydd pMUT ac yn uwch na'r trothwy canfod, fel arall mae'n parhau i fod yn anactif.Mae hyn yn osgoi defnydd pŵer diangen pan nad oes signal mewnbwn.O ystyried amlder gweithrediadau lleoleiddio o 100 Hz a chyfnod actifadu o 300 µs fesul gweithrediad (y TGD mwyaf posibl), defnydd pŵer y graff cyfrifiadurol niwromorffig yw 61.7 nW.Gyda rhag-brosesu niwromorffig yn cael ei gymhwyso i bob derbynnydd pMUT, mae defnydd pŵer y system gyfan yn cyrraedd 81.6 nW.Er mwyn deall effeithlonrwydd ynni'r dull niwromorffig arfaethedig o'i gymharu â chaledwedd confensiynol, gwnaethom gymharu'r nifer hwn â'r ynni sydd ei angen i gyflawni'r un dasg ar ficroreolydd pŵer isel modern gan ddefnyddio naill ai niwromorffig neu gonfensiynol trawsyrru68 Skill.Mae'r dull niwromorffig yn ystyried cam trawsnewidydd analog-i-ddigidol (ADC), ac yna hidlydd pas-band a cham echdynnu amlen (dull Teeger-Kaiser).Yn olaf, cyflawnir gweithrediad trothwy i echdynnu'r ToF.Rydym wedi hepgor y cyfrifiad o ITD yn seiliedig ar ToF a'r trosi i safle onglog amcangyfrifedig gan fod hyn yn digwydd unwaith ar gyfer pob mesuriad (gweler Dulliau).Gan dybio bod cyfradd samplu o 250 kHz ar y ddwy sianel (derbynyddion pMUT), 18 o weithrediadau hidlo pas band, 3 gweithrediad echdynnu amlen, ac 1 gweithrediad trothwy fesul sampl, amcangyfrifir bod cyfanswm y defnydd pŵer yn 245 microwat.Mae hyn yn defnyddio modd pŵer isel y microreolydd69, sy'n troi ymlaen pan nad yw'r algorithmau'n gweithredu, sy'n lleihau'r defnydd o bŵer i 10.8 µW.Defnydd pŵer yr ateb prosesu signal beamforming a gynigir yn y cyfeirnod.31, gyda 5 derbynnydd pMUT a 11 trawst wedi'u dosbarthu'n unffurf yn yr awyren azimuth [-50 °, +50 °], yw 11.71 mW (gweler yr adran Dulliau am fanylion).Yn ogystal, rydym yn adrodd am ddefnydd pŵer Encoder Gwahaniaeth Amser (TDE) seiliedig ar FPGA47 a amcangyfrifir yn 1.5 mW yn lle model Jeffress ar gyfer lleoleiddio gwrthrychau.Yn seiliedig ar yr amcangyfrifon hyn, mae'r dull niwromorffig arfaethedig yn lleihau'r defnydd o bŵer gan bum gorchymyn maint o'i gymharu â microreolydd gan ddefnyddio technegau trawstffurf clasurol ar gyfer gweithrediadau lleoleiddio gwrthrychau.Mae mabwysiadu dull niwromorffig o brosesu signal ar ficroreolydd clasurol yn lleihau'r defnydd o bŵer tua dau orchymyn maint.Gellir esbonio effeithiolrwydd y system arfaethedig gan y cyfuniad o gylched analog gwrth-cof asyncronig sy'n gallu gwneud cyfrifiadau cof a'r diffyg trosi analog-i-ddigidol sydd ei angen i ganfod signalau.
Cydraniad onglog (glas) a defnydd pŵer (gwyrdd) y gweithrediad lleoleiddio yn dibynnu ar nifer y modiwlau CD.Mae'r bar llorweddol glas tywyll yn cynrychioli cywirdeb onglog y PMUT ac mae'r bar llorweddol glas golau yn cynrychioli cywirdeb onglog y graff cyfrifiadol niwromorffig.b Defnyddio pŵer y system arfaethedig a chymharu â'r ddau weithrediad microreolyddion a drafodwyd a gweithrediad digidol yr Amgodydd Gwahaniaeth Amser (TDE)47 FPGA.
Er mwyn lleihau'r defnydd o bŵer yn y system leoleiddio targed, fe wnaethom lunio, dylunio a gweithredu cylched niwromorffig RRAM effeithlon, wedi'i gyrru gan ddigwyddiad, sy'n prosesu'r wybodaeth signal a gynhyrchir gan y synwyryddion adeiledig i gyfrifo lleoliad y gwrthrych targed mewn real. amser..Tra bod dulliau prosesu traddodiadol yn samplu signalau a ganfuwyd yn barhaus ac yn gwneud cyfrifiadau i dynnu gwybodaeth ddefnyddiol, mae'r datrysiad niwromorffig arfaethedig yn gwneud cyfrifiadau'n anghydamserol wrth i wybodaeth ddefnyddiol gyrraedd, gan wneud y mwyaf o effeithlonrwydd pŵer system o bum gorchymyn maint.Yn ogystal, rydym yn tynnu sylw at hyblygrwydd cylchedau niwromorffig sy'n seiliedig ar RRAM.Mae gallu RRAM i newid dargludiad mewn modd anweddol (plastigedd) yn gwneud iawn am yr amrywioldeb cynhenid ​​o gylchedau synaptig a niwral analog pŵer isel iawn DPI.Mae hyn yn gwneud y gylched hon sy'n seiliedig ar RRAM yn amlbwrpas a phwerus.Nid tynnu swyddogaethau neu batrymau cymhleth o signalau yw ein nod, ond lleoli gwrthrychau mewn amser real.Gall ein system hefyd gywasgu'r signal yn effeithlon a'i anfon yn y pen draw i gamau prosesu pellach i wneud penderfyniadau mwy cymhleth pan fo angen.Yng nghyd-destun cymwysiadau lleoleiddio, gall ein cam rhagbrosesu niwromorffig ddarparu gwybodaeth am leoliad gwrthrychau.Gellir defnyddio'r wybodaeth hon, er enghraifft, ar gyfer canfod symudiadau neu adnabod ystumiau.Rydym yn pwysleisio pwysigrwydd cyfuno synwyryddion pŵer isel iawn fel pMUTs ag electroneg pŵer isel iawn.Ar gyfer hyn, mae dulliau niwromorffig wedi bod yn allweddol gan eu bod wedi ein harwain i ddatblygu gweithrediadau cylched newydd o ddulliau cyfrifiadurol a ysbrydolwyd yn fiolegol megis model Jeffress.Yng nghyd-destun cymwysiadau ymasiad synhwyrydd, gellir cyfuno ein system â nifer o wahanol synwyryddion sy'n seiliedig ar ddigwyddiadau i gael gwybodaeth fwy cywir.Er bod tylluanod yn wych am ddod o hyd i ysglyfaeth yn y tywyllwch, mae ganddynt olwg ardderchog ac maent yn perfformio chwiliad clywedol a gweledol cyfun cyn dal ysglyfaeth70.Pan fydd niwron clywedol penodol yn tanio, mae'r dylluan yn derbyn y wybodaeth sydd ei hangen arni i benderfynu i ba gyfeiriad i ddechrau ei chwiliad gweledol, gan ganolbwyntio ei sylw ar ran fach o'r olygfa weledol.Dylid archwilio cyfuniad o synwyryddion gweledol (camera DVS) a synhwyrydd gwrando arfaethedig (yn seiliedig ar pMUT) ar gyfer datblygu asiantau ymreolaethol yn y dyfodol.
Mae'r synhwyrydd pMUT wedi'i leoli ar PCB gyda dau dderbynnydd tua 10 cm ar wahân, ac mae'r trosglwyddydd wedi'i leoli rhwng y derbynyddion.Yn y gwaith hwn, mae pob pilen yn strwythur bimorph crog sy'n cynnwys dwy haen o alwminiwm nitrid piezoelectrig (AlN) 800 nm o drwch wedi'i wasgu rhwng tair haen o folybdenwm (Mo) 200 nm o drwch ac wedi'i orchuddio â haen 200 nm o drwch.yr haen SiN goddefol uchaf fel y disgrifir yn y cyfeiriad.71. Mae'r electrodau mewnol ac allanol yn cael eu cymhwyso i haenau gwaelod a uchaf molybdenwm, tra bod yr electrod molybdenwm canol yn ddi-batrwm ac yn cael ei ddefnyddio fel daear, gan arwain at bilen â phedwar pâr o electrodau.
Mae'r bensaernïaeth hon yn caniatáu defnyddio anffurfiad pilen cyffredin, gan arwain at well sensitifrwydd trosglwyddo a derbyn.Mae pMUT o'r fath fel arfer yn dangos sensitifrwydd cyffro o 700 nm / V fel allyrrydd, gan ddarparu pwysedd arwyneb o 270 Pa / V.Fel derbynnydd, mae un ffilm pMUT yn arddangos sensitifrwydd cylched byr o 15 NA/Pa, sy'n uniongyrchol gysylltiedig â chyfernod piezoelectrig AlN.Mae amrywioldeb technegol y foltedd yn yr haen AlN yn arwain at newid yn yr amledd soniarus, y gellir ei ddigolledu trwy gymhwyso gogwydd DC i'r pMUT.Mesurwyd sensitifrwydd DC ar 0.5 kHz/V.Ar gyfer nodweddu acwstig, defnyddir meicroffon o flaen y pMUT.
I fesur curiad yr atsain, fe wnaethom osod plât hirsgwar gydag arwynebedd o tua 50 cm2 o flaen y pMUT i adlewyrchu'r tonnau sain a allyrrir.Mae'r pellter rhwng y platiau a'r ongl o'i gymharu â'r awyren pMUT yn cael eu rheoli gan ddefnyddio dalwyr arbennig.Mae ffynhonnell foltedd Tectronix CPX400DP yn gogwyddo tair pilen pMUT, gan diwnio'r amledd soniarus i 111.9 kHz31, tra bod y trosglwyddyddion yn cael eu gyrru gan generadur pwls Tectronix AFG 3102 wedi'i diwnio i'r amledd soniarus (111.9 kHz) a chylch dyletswydd o 0.01.Mae'r cerrynt a ddarllenir o bedwar porthladd allbwn pob derbynnydd pMUT yn cael eu trosi i folteddau gan ddefnyddio pensaernïaeth cerrynt a foltedd gwahaniaethol arbennig, ac mae'r signalau canlyniadol yn cael eu digideiddio gan system caffael data Spektrum.Nodweddwyd y terfyn canfod gan gaffael signal pMUT o dan wahanol amodau: symudasom yr adlewyrchydd i wahanol bellteroedd [30, 40, 50, 60, 80, 100] cm a newidiwyd ongl cymorth pMUT ([0, 20, 40] o ) Mae Ffigur 2b yn dangos cydraniad amser canfod ITD yn dibynnu ar y safle onglog cyfatebol mewn graddau.
Mae'r erthygl hon yn defnyddio dau gylched RRAM oddi ar y silff gwahanol.Y cyntaf yw amrywiaeth o 16,384 (16,000) o ddyfeisiau (dyfeisiau 128 × 128) mewn cyfluniad 1T1R gydag un transistor ac un gwrthydd.Yr ail sglodyn yw'r llwyfan niwromorffig a ddangosir yn Ffig. 4a.Mae'r gell RRAM yn cynnwys ffilm HfO2 5 nm o drwch wedi'i hymgorffori mewn pentwr TiN/HfO2/Ti/TiN.Mae'r stac RRAM wedi'i integreiddio i gefn llinell (BEOL) y broses CMOS 130nm safonol.Mae cylchedau niwromorffig sy'n seiliedig ar RRAM yn cyflwyno her ddylunio ar gyfer systemau electronig cwbl analog lle mae dyfeisiau RRAM yn cydfodoli â thechnoleg CMOS traddodiadol.Yn benodol, rhaid darllen cyflwr dargludiad y ddyfais RRAM a'i ddefnyddio fel newidyn swyddogaeth ar gyfer y system.I'r perwyl hwn, cafodd cylched ei dylunio, ei gwneud a'i phrofi sy'n darllen y cerrynt o'r ddyfais pan dderbynnir pwls mewnbwn ac sy'n defnyddio'r cerrynt hwn i bwysoli ymateb synaps integreiddiwr pâr gwahaniaethol (DPI).Dangosir y gylched hon yn Ffigur 3a, sy'n cynrychioli blociau adeiladu sylfaenol y llwyfan niwromorffig yn Ffigur 4a.Mae pwls mewnbwn yn actifadu giât y ddyfais 1T1R, gan ysgogi cerrynt trwy RRAM sy'n gymesur â dargludiant y ddyfais G (Iweight = G(Vtop - Vx)).Mae gan fewnbwn gwrthdroadol y gylched mwyhadur gweithredol (mwyhadur gweithredol) foltedd gogwydd DC cyson Vtop.Bydd adborth negyddol y mwyhadur gweithredol yn darparu Vx = Vtop trwy ddarparu cerrynt cyfartal o M1.Mae'r pwysau I presennol sy'n cael ei adennill o'r ddyfais yn cael ei chwistrellu i'r synaps DPI.Bydd cerrynt cryfach yn arwain at fwy o ddadbolaru, felly mae dargludiant RRAM yn gweithredu pwysau synaptig yn effeithiol.Mae'r cerrynt synaptig esbonyddol hwn yn cael ei chwistrellu trwy gynhwysydd pilen y niwronau Integreiddio a Chyffro sy'n Gollwng (LIF), lle caiff ei integreiddio fel foltedd.Os yw foltedd trothwy'r bilen (foltedd newid y gwrthdröydd) yn cael ei oresgyn, mae rhan allbwn y niwron yn cael ei actifadu, gan gynhyrchu pigyn allbwn.Mae'r curiad hwn yn dychwelyd ac yn siyntio cynhwysydd pilen y niwron i'r llawr, gan achosi iddo ollwng.Mae'r gylched hon wedyn yn cael ei hategu gan ehangwr curiad y galon (na ddangosir yn Ffig. 3a), sy'n siapio curiad allbwn y niwron LIF i'r lled pwls targed.Mae lluosogwyr hefyd wedi'u cynnwys ym mhob llinell, gan ganiatáu i foltedd gael ei gymhwyso i electrodau uchaf a gwaelod y ddyfais RRAM.
Mae profion trydanol yn cynnwys dadansoddi a chofnodi ymddygiad deinamig cylchedau analog, yn ogystal â rhaglennu a darllen dyfeisiau RRAM.Mae angen offer arbennig ar y ddau gam, ac mae pob un ohonynt wedi'u cysylltu â'r bwrdd synhwyrydd ar yr un pryd.Mae mynediad i ddyfeisiau RRAM mewn cylchedau niwromorffig yn cael ei wneud o offer allanol trwy amlblecsydd (MUX).Mae'r MUX yn gwahanu'r gell 1T1R oddi wrth weddill y cylchedwaith y mae'n perthyn iddo, gan ganiatáu i'r ddyfais gael ei darllen a/neu ei rhaglennu.I raglennu a darllen dyfeisiau RRAM, defnyddir peiriant SCS Keithley 4200 ar y cyd â microreolydd Arduino: y cyntaf ar gyfer cynhyrchu pwls cywir a darllen cyfredol, a'r ail ar gyfer mynediad cyflym i elfennau 1T1R unigol yn yr arae cof.Y llawdriniaeth gyntaf yw ffurfio'r ddyfais RRAM.Dewisir y celloedd fesul un a chymhwysir foltedd positif rhwng yr electrodau uchaf a gwaelod.Yn yr achos hwn, mae'r cerrynt wedi'i gyfyngu i drefn degau o ficroamperau oherwydd cyflenwad y foltedd giât cyfatebol i'r transistor detholwr.Yna gall y gell RRAM feicio rhwng cyflwr dargludol isel (LCS) a chyflwr dargludol uchel (HCS) gan ddefnyddio gweithrediadau AILOSOD a SET, yn y drefn honno.Cyflawnir gweithrediad SET trwy gymhwyso pwls foltedd hirsgwar sy'n para 1 μs a foltedd brig o 2.0-2.5 V i'r electrod uchaf, a churiad cysoni siâp tebyg gyda foltedd brig o 0.9-1.3 V i porth y transistor dethol.Mae'r gwerthoedd hyn yn caniatáu modiwleiddio dargludiant RRAM ar gyfnodau 20-150 µs.Ar gyfer AILOSOD, mae pwls brig 1 µs o led, 3 V yn cael ei roi ar electrod gwaelod (llinell didau) y gell pan fo foltedd yr adwy yn yr ystod 2.5-3.0 V. Mae mewnbynnau ac allbynnau'r cylchedau analog yn signalau deinamig .Ar gyfer mewnbwn, rydym yn rhyngddalennog dau generadur pwls HP 8110 gyda generaduron signal Tektronix AFG3011.Lled y curiad mewnbwn yw 1 µs ac ymyl codi/cwymp o 50 ns.Tybir bod y math hwn o guriad yn glitch nodweddiadol mewn cylchedau analog sy'n seiliedig ar glitch.O ran y signal allbwn, recordiwyd y signal allbwn gan ddefnyddio osgilosgop Teledyne LeCroy 1 GHz.Profwyd nad yw cyflymder caffael osgilosgop yn ffactor cyfyngol wrth ddadansoddi a chaffael data cylched.
Mae defnyddio deinameg electroneg analog i efelychu ymddygiad niwronau a synapsau yn ateb cain ac effeithlon i wella effeithlonrwydd cyfrifiannol.Anfantais yr isgarped cyfrifiadol hwn yw y bydd yn amrywio o gynllun i gynllun.Fe wnaethom feintioli amrywioldeb niwronau a chylchedau synaptig (Ffig Atodol 2a,b).O'r holl amlygiadau o amrywioldeb, y rhai sy'n gysylltiedig â chysonion amser a chynnydd mewnbwn sy'n cael yr effaith fwyaf ar lefel y system.Mae cysonyn amser y niwron LIF a'r synaps DPI yn cael ei bennu gan gylched RC, lle mae gwerth R yn cael ei reoli gan foltedd bias a gymhwysir i giât y transistor (Vlk ar gyfer y niwron a Vtau ar gyfer y synaps), gan bennu'r cyfradd gollyngiadau.Diffinnir cynnydd mewnbwn fel y foltedd brig a gyrhaeddir gan y cynwysyddion pilen synaptig a niwronaidd wedi'i ysgogi gan guriad mewnbwn.Mae'r cynnydd mewnbwn yn cael ei reoli gan transistor bias arall sy'n modylu'r cerrynt mewnbwn.Perfformiwyd efelychiad Monte Carlo wedi'i raddnodi ar broses 130nm ST Microelectronics i gasglu rhywfaint o enillion mewnbwn ac ystadegau cysonion amser.Cyflwynir y canlyniadau yn Ffigur Atodol 2, lle mae'r cynnydd mewnbwn a'r cysonyn amser yn cael eu mesur fel swyddogaeth y foltedd bias sy'n rheoli'r gyfradd gollwng.Mae marcwyr gwyrdd yn meintioli gwyriad safonol y cysonyn amser o'r cymedr.Roedd niwronau a chylchedau synaptig yn gallu mynegi ystod eang o gysonion amser yn yr ystod o 10-5-10-2 s, fel y dangosir yn y cynllun Ffig. Atodol.Roedd ymhelaethiad mewnbwn (Ffig. Atodol 2e,d) o amrywioldeb niwronaidd a synaps tua 8% a 3%, yn y drefn honno.Mae diffyg o'r fath wedi'i ddogfennu'n dda yn y llenyddiaeth: perfformiwyd mesuriadau amrywiol ar yr amrywiaeth o sglodion DYNAP i asesu'r diffyg cyfatebiaeth rhwng poblogaethau niwronau LIF63.Mesurwyd y synapsau yn sglodyn signal cymysg BrainScale a dadansoddwyd eu anghysondebau, a chynigiwyd gweithdrefn galibradu i leihau effaith amrywioldeb ar lefel system64.
Mae swyddogaeth RRAM mewn cylchedau niwromorffig yn ddeublyg: diffiniad pensaernïaeth (llwyo mewnbynnau i allbynnau) a gweithredu pwysau synaptig.Gellir defnyddio'r eiddo olaf i ddatrys problem amrywioldeb y cylchedau niwromorffig wedi'u modelu.Rydym wedi datblygu gweithdrefn calibro syml sy'n cynnwys ailraglennu'r ddyfais RRAM nes bod y gylched sy'n cael ei dadansoddi yn bodloni rhai gofynion.Ar gyfer mewnbwn penodol, mae'r allbwn yn cael ei fonitro ac mae'r RRAM yn cael ei ail-raglennu nes cyflawni'r ymddygiad targed.Cyflwynwyd amser aros o 5 s rhwng gweithrediadau rhaglennu i ddatrys y broblem o ymlacio RRAM gan arwain at amrywiadau dargludiant dros dro (Gwybodaeth Atodol).Mae pwysau synaptig yn cael eu haddasu neu eu graddnodi yn unol â gofynion y gylched niwromorffig sy'n cael ei modelu.Mae'r weithdrefn graddnodi wedi'i grynhoi mewn algorithmau ychwanegol [1, 2] sy'n canolbwyntio ar ddwy nodwedd sylfaenol o lwyfannau niwromorffig, llinellau oedi a CD ansensitif cyfeiriad.Ar gyfer cylched gyda llinell oedi, yr ymddygiad targed yw darparu pwls allbwn gyda oedi Δt.Os yw'r oedi cylched gwirioneddol yn llai na'r gwerth targed, dylid lleihau pwysau synaptig G3 (dylid ailosod G3 ac yna ei osod i Icc cyfredol cyfatebol is).I'r gwrthwyneb, os yw'r oedi gwirioneddol yn fwy na'r gwerth targed, rhaid cynyddu dargludedd G3 (rhaid ailosod G3 yn gyntaf ac yna ei osod i werth Icc uwch).Ailadroddir y broses hon nes bod yr oedi a gynhyrchir gan y gylched yn cyfateb i'r gwerth targed a gosodir goddefiant i atal y broses raddnodi.Ar gyfer cryno ddisgiau cyfeiriadedd-ansensitif, mae dwy ddyfais RRAM, G1 a G3, yn rhan o'r broses raddnodi.Mae gan y gylched hon ddau fewnbwn, Vin0 a Vin1, wedi'u gohirio gan dt.Dylai'r gylched ymateb i oedi o dan yr ystod gyfatebol [0,dtCD] yn unig.Os nad oes brig allbwn, ond bod y brig mewnbwn yn agos, dylid rhoi hwb i'r ddau ddyfais RRAM i helpu'r niwron i gyrraedd y trothwy.I'r gwrthwyneb, os yw'r gylched yn ymateb i oedi sy'n fwy na'r ystod darged o dtCD, rhaid lleihau'r dargludedd.Ailadroddwch y broses hyd nes y ceir yr ymddygiad cywir.Gellir modiwleiddio cerrynt cydymffurfio gan y gylched analog adeiledig yn cyf.72.73.Gyda'r gylched adeiledig hon, gellir cyflawni gweithdrefnau o'r fath o bryd i'w gilydd i raddnodi'r system neu ei hailddefnyddio ar gyfer cais arall.
Rydym yn gwerthuso defnydd pŵer ein dull prosesu signal niwromorffig ar ficroreolydd 32-did safonol68.Yn y gwerthusiad hwn, rydym yn tybio gweithrediad gyda'r un gosodiadau ag yn y papur hwn, gydag un trosglwyddydd PMUT a dau dderbynnydd PMUT.Mae'r dull hwn yn defnyddio hidlydd bandpass, wedi'i ddilyn gan gam echdynnu amlen (Teeger-Kaiser), ac yn olaf mae gweithrediad trothwy yn cael ei gymhwyso i'r signal i dynnu amser hedfan.Mae cyfrifiad yr ITD a'i drosi i onglau canfod wedi'u hepgor yn y gwerthusiad.Rydym yn ystyried gweithredu hidlydd pas band gan ddefnyddio hidlydd ymateb anfeidrol 4ydd gorchymyn sy'n gofyn am 18 gweithrediad pwynt arnawf.Mae echdynnu amlen yn defnyddio tri gweithrediad pwynt arnawf arall, a defnyddir y llawdriniaeth olaf i osod y trothwy.Mae angen cyfanswm o 22 o weithrediadau pwynt arnawf i ragbrosesu'r signal.Mae'r signal a drosglwyddir yn fyrstio byr o donffurf sin 111.9 kHz a gynhyrchir bob 10 ms gan arwain at amledd gweithredu lleoli o 100 Hz.Defnyddiwyd cyfradd samplu o 250 kHz i gydymffurfio â Nyquist a ffenestr 6 ms ar gyfer pob mesuriad i ddal ystod o 1 metr.Sylwch mai 6 milieiliad yw amser hedfan gwrthrych sydd 1 metr i ffwrdd.Mae hyn yn darparu defnydd pŵer o 180 µW ar gyfer trosi A/D ar 0.5 MSPS.Mae rhagbrosesu signal yn 6.60 MIPS (cyfarwyddiadau yr eiliad), gan gynhyrchu 0.75 mW.Fodd bynnag, gall y microreolydd newid i fodd pŵer isel 69 pan nad yw'r algorithm yn rhedeg.Mae'r modd hwn yn darparu defnydd pŵer statig o 10.8 μW ac amser deffro o 113 μs.O ystyried amlder cloc o 84 MHz, mae'r microreolydd yn cwblhau holl weithrediadau'r algorithm niwromorffig o fewn 10 ms, ac mae'r algorithm yn cyfrifo cylch dyletswydd o 6.3%, gan ddefnyddio modd pŵer isel.Y gwasgariad pŵer canlyniadol yw 244.7 μW.Sylwch ein bod yn hepgor yr allbwn ITD o ToF a'r trosi i ongl ganfod, gan danamcangyfrif defnydd pŵer y microreolydd felly.Mae hyn yn rhoi gwerth ychwanegol ar gyfer effeithlonrwydd ynni'r system arfaethedig.Fel amod cymharu ychwanegol, rydym yn gwerthuso defnydd pŵer y dulliau trawstio clasurol a gynigir yn y cyfeirnod.31.54 pan fydd wedi'i fewnosod yn yr un microcontroller68 ar foltedd cyflenwad 1.8V.Defnyddir pum pilen PMUT â bylchau cyfartal rhyngddynt i gael data ar gyfer trawsyrru.O ran y prosesu ei hun, y dull beamforming a ddefnyddir yw crynhoi oedi.Yn syml, mae'n cynnwys gosod oedi ar y lonydd sy'n cyfateb i'r gwahaniaeth disgwyliedig mewn amseroedd cyrraedd rhwng un lôn a'r lôn gyfeirio.Os yw'r signalau mewn cyfnod, bydd gan swm y signalau hyn egni uchel ar ôl shifft amser.Os ydynt allan o gyfnod, bydd ymyrraeth ddinistriol yn cyfyngu ar egni eu swm.mewn perthynas.Ar ffig.31, dewisir cyfradd samplu o 2 MHz i amser symud y data yn ôl nifer cyfanrif o samplau.Dull mwy cymedrol yw cynnal cyfradd sampl fwy bras o 250 kHz a defnyddio hidlydd Ymateb Ysgythriad Cyfyngedig (FIR) i syntheseiddio oedi ffracsiynol.Byddwn yn tybio bod cymhlethdod yr algorithm trawstio yn cael ei bennu'n bennaf gan y shifft amser, gan fod pob sianel yn gysylltiedig â hidlydd FIR gyda 16 tap i bob cyfeiriad.I gyfrifo nifer y MIPS sydd eu hangen ar gyfer y llawdriniaeth hon, rydym yn ystyried ffenestr o 6ms fesul mesuriad i ddal ystod o 1 metr, 5 sianel, 11 cyfeiriad trawst (ystod +/- 50° mewn camau 10°).Gwthiodd 75 o fesuriadau yr eiliad y microreolydd i'w uchafswm o 100 MIPS.Cyswllt.68, gan arwain at afradu pŵer o 11.26 mW ar gyfer afradu pŵer cyfanswm o 11.71 mW ar ôl ychwanegu'r cyfraniad ADC ar y bwrdd.
Mae data sy'n cefnogi canlyniadau'r astudiaeth hon ar gael gan yr awdur priodol, FM, ar gais rhesymol.
Indiveri, G. & Sandamirskaya, Y. Pwysigrwydd gofod ac amser ar gyfer prosesu signal mewn cyfryngau niwromorffig: Yr her o ddatblygu cyfryngau ymreolaethol pŵer isel sy'n rhyngweithio â'r amgylchedd. Indiveri, G. & Sandamirskaya, Y. Pwysigrwydd gofod ac amser ar gyfer prosesu signal mewn cyfryngau niwromorffig: Yr her o ddatblygu cyfryngau ymreolaethol pŵer isel sy'n rhyngweithio â'r amgylchedd.Indiveri G. a Sandamirskaya Y. Pwysigrwydd gofod ac amser ar gyfer prosesu signal mewn asiantau niwromorffig: yr her o ddatblygu asiantau ymreolaethol pŵer isel sy'n rhyngweithio â'r amgylchedd. Indiveri, G. & Sandamirskaya, Y.理的挑战。 Indiveri, G. a Sandamirskaya, Y.Indiveri G. a Sandamirskaya Y. Pwysigrwydd gofod ac amser ar gyfer prosesu signal mewn asiantau niwromorffig: yr her o ddatblygu asiantau ymreolaethol pŵer isel sy'n rhyngweithio â'r amgylchedd.Prosesu Signalau IEEE.Cyfnodolyn 36, 16–28 (2019).
Thorpe, SJ Amser Cyrraedd Brig: Cynllun Codio Rhwydwaith Niwral Effeithlon. yn Eckmiller, R., Hartmann, G. & Hauske, G. (golau). yn Eckmiller, R., Hartmann, G. & Hauske, G. (golau).yn Eckmiller, R., Hartmann, G. a Hauske, G. (gol.).Yn Eckmiller, R., Hartmann, G., a Hauske, G. (gol.).Prosesu cyfochrog mewn systemau niwral a chyfrifiaduron 91–94 (Gogledd Holland Elsevier, 1990).
Mae Levy, WB & Calvert, VG Communication yn defnyddio 35 gwaith yn fwy o egni na chyfrifiant yn y cortecs dynol, ond mae angen y ddau gost i ragweld rhif synaps. Mae Levy, WB & Calvert, VG Communication yn defnyddio 35 gwaith yn fwy o egni na chyfrifiant yn y cortecs dynol, ond mae angen y ddau gost i ragweld rhif synaps.Mae Levy, WB a Calvert, WG Communication yn defnyddio 35 gwaith yn fwy o egni na chyfrifiant yn y cortecs dynol, ond mae angen y ddwy gost i ragweld nifer y synapsau. Levy, WB & Calvert, VG Communication Ardoll, WB a Calvert, Cyfathrebu VGMae Levy, WB a Calvert, WG Communication yn defnyddio 35 gwaith yn fwy o egni na chyfrifiant yn y cortecs dynol, ond mae'r ddau gost yn gofyn am ragfynegi nifer y synapsau.proses.Academi Wyddoniaeth Genedlaethol.y wyddoniaeth.UD 118, https://doi.org/10.1073/pnas.2008173118 (2021).
Dalgaty, T., Vianello, E., De Salvo, B. & Casas, J. Cyfrifiadura niwromorffig wedi'i ysbrydoli gan bryfed. Dalgaty, T., Vianello, E., De Salvo, B. & Casas, J. Cyfrifiadura niwromorffig wedi'i ysbrydoli gan bryfed.Dalgati, T., Vianello, E., DeSalvo, B. a Casas, J. Cyfrifiadura niwromorffig wedi'i ysbrydoli gan bryfed.Dalgati T., Vianello E., DeSalvo B. a Casas J. Cyfrifiadura niwromorffig wedi'i ysbrydoli gan bryfed.Cyfredol.Barn.Gwyddor pryfed.30, 59–66 (2018).
Roy, K., Jaiswal, A. & Panda, P. Tuag at ddeallusrwydd peiriant yn seiliedig ar bigyn gyda chyfrifiadura niwromorffig. Roy, K., Jaiswal, A. & Panda, P. Tuag at ddeallusrwydd peiriant yn seiliedig ar bigyn gyda chyfrifiadura niwromorffig. Roy, K., Jaiswal, A. & Panda, P. Tuag at Wybodaeth Peiriant Seiliedig ar Sbigyn gyda Chyfrifiadura Niwromorffig.Roy K, Jaiswal A, a Panda P. Deallusrwydd artiffisial yn seiliedig ar bwls gan ddefnyddio cyfrifiadura niwromorffig.Natur 575, 607–617 (2019).
Indiveri, G. & Liu, S.-C. Indiveri, G. & Liu, S.-C.Indiveri, G. a Liu, S.-K. Indiveri, G. & Liu, S.-C. Indiveri, G. & Liu, S.-C.Indiveri, G. a Liu, S.-K.Prosesu cof a gwybodaeth mewn systemau niwromorffig.proses.IEEE 103, 1379–1397 (2015).
Roedd Akopyan F. et al.Truenorth: Dylunio a phecyn cymorth ar gyfer sglodyn synaptig rhaglenadwy 65 mW 1 miliwn o niwron.trafodion IEEE.Dyluniad cyfrifiadurol systemau cylched integredig.34, 1537–1557 (2015).
Schemmel, J. et al.Demo byw: fersiwn llai o system niwromorffig Graddfa Ymennydd ar raddfa plât.Symposiwm Rhyngwladol IEEE ar Gylchedau a Systemau 2012 (ISCAS), (gol. IEEE) 702–702 (2012).
Moradi, S., Qiao, N., Stefanini, F. & Indieri, G. Pensaernïaeth aml-graidd scalable gyda strwythurau cof heterogenaidd ar gyfer proseswyr asyncronig niwromorffig deinamig (DYNAPs). Moradi, S., Qiao, N., Stefanini, F. & Indieri, G. Pensaernïaeth aml-graidd scalable gyda strwythurau cof heterogenaidd ar gyfer proseswyr asyncronig niwromorffig deinamig (DYNAPs).Moradi S., Qiao N., Stefanini F. ac Indiviri G. Pensaernïaeth aml-graidd scalable gyda strwythurau cof heterogenaidd ar gyfer proseswyr asyncronig niwromorffig deinamig (DYNAP). Moradi, S. 、 Qiao, N., Stefanini, F. & Indiveri, G. 一种可扩展的多核架构,具有用于动态筨于动态神经形态皞经形态多异经多核架构,内存结构。 Moradi, S. 、 Qiao, N. 、 Stefanini, F. & Indiveri, G. Math o bensaernïaeth aml-graidd y gellir ei ehangu, gyda strwythur cof unigryw ar gyfer prosesu niwral deinamig (DYNAP).Moradi S., Qiao N., Stefanini F. ac Indiviri G. Pensaernïaeth aml-graidd scalable gyda strwythurau cof heterogenaidd ar gyfer proseswyr asyncronig niwromorffig deinamig (DYNAP).Trafodion IEEE ar wyddoniaeth Fiofeddygol.system drydanol.12, 106–122 (2018).
Davies, M. et al.Loihi: Prosesydd aml-graidd niwromorffig gyda dysgu wedi'i fewnosod.IEEE Micro 38, 82–99 (2018).
Furber, SB, Galluppi, F., Temple, S. & Plana, LA Y prosiect SpiNNaker. Furber, SB, Galluppi, F., Temple, S. & Plana, LA Y prosiect SpiNNaker.Ferber SB, Galluppi F., Temple S. a Plana LA SpiNNaker prosiect.Ferber SB, Galluppi F., Temple S. a Plana LA SpiNNaker prosiect.proses.IEEE 102, 652–665 (2014).
Liu, S.-K. & Delbruck, T. Systemau synhwyraidd niwromorffig. & Delbruck, T. Systemau synhwyraidd niwromorffig.a Delbrück T. Systemau synhwyraidd niwromorffig. & Delbruck, T. 神经形态感觉系统。 & Delbruck, T.a Delbrück T. System synhwyraidd niwromorffig.Cyfredol.Barn.Niwrobioleg.20, 288–295 (2010).
Chope, T. et al.Integreiddiad synhwyraidd niwromorffig ar gyfer lleoleiddio ffynonellau sain cyfun ac osgoi gwrthdrawiadau.Yn 2019 yng Nghynhadledd IEEE ar Gylchedau a Systemau Biofeddygol (BioCAS), (IEEE Ed.) 1–4 (2019).
Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, G. Pensaernïaeth niwromorffig seiliedig ar bigyn o weledigaeth stereo. Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, G. Pensaernïaeth niwromorffig seiliedig ar bigyn o weledigaeth stereo.Risi N, Aymar A, Donati E, Solinas S, ac Indiveri G. Pensaernïaeth stereovision niwromorffig yn seiliedig ar bigyn. Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, G. 一种基于脉冲的立体视觉神经形态结构。 Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, G.Risi N, Aimar A, Donati E, Solinas S, ac Indiveri G. Pensaernïaeth niwromorffig seiliedig ar Spike ar gyfer gweledigaeth stereo.blaen.Neurorobotics 14, 93 (2020).
Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. Model rhwydwaith niwral pigog o 3Dperception ar gyfer systemau gweledigaeth stereo niwromorffig sy'n seiliedig ar ddigwyddiadau. Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. Model rhwydwaith niwral pigog o 3Dperception ar gyfer systemau gweledigaeth stereo niwromorffig sy'n seiliedig ar ddigwyddiadau.Oswald, M., Ieng, S.-H., Benosman, R., ac Indiveri, G. Model Canfyddiad Rhwydwaith Niwral Pwls 3D ar gyfer Systemau Gweledigaeth Stereo Niwromorffig Seiliedig ar Ddigwyddiad. Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. 3Dperception 脉冲神经网络模型。Oswald, M., Ieng, S.-H., Benosman, R., ac Indiveri, G. Model Rhwydwaith Niwral 3Dperception Spiked ar gyfer System Gweledigaeth Stereo Neuromorffig Seiliedig ar Ddigwyddiad.y wyddoniaeth.Adroddiad 7, 1–11 (2017).
Dalgaty, T. et al.Mae canfod mudiant sylfaenol wedi'i ysbrydoli gan bryfed yn cynnwys cof gwrthiannol a rhwydweithiau niwral byrstio.System biohybrid bionig.10928, 115–128 (2018).
D'Angelo, G. et al.Darganfod mudiant ecsentrig ar sail digwyddiad gan ddefnyddio codio gwahaniaethol amserol.blaen.Niwroleg.14, 451 (2020).


Amser postio: Tachwedd-17-2022