page_head_bg

Նորություններ

Իրական աշխարհի տվյալների մշակման հավելվածները պահանջում են կոմպակտ, ցածր լատենտ, ցածր էներգիայի հաշվողական համակարգեր:Իրադարձությունների վրա հիմնված հաշվողական հնարավորություններով՝ լրացուցիչ մետաղ-օքսիդ-կիսահաղորդիչ հիբրիդային մեմրիստիվ նեյրոմորֆ ճարտարապետությունները ապահովում են իդեալական ապարատային հիմք նման առաջադրանքների համար:Նման համակարգերի ամբողջ ներուժը ցուցադրելու համար մենք առաջարկում և փորձնականորեն ցուցադրում ենք սենսորների մշակման համապարփակ լուծում իրական աշխարհի օբյեկտների տեղայնացման հավելվածների համար:Ոգեշնչվելով գոմի բուերի նեյրոանատոմիայից՝ մենք մշակել ենք բիոներշնչված, իրադարձությունների վրա հիմնված օբյեկտների տեղայնացման համակարգ, որը միավորում է ժամանակակից պիեզոէլեկտրական միկրոմեխանիկական փոխարկիչի հետ հաշվողական գրաֆիկի վրա հիմնված նեյրոմորֆ դիմադրողական հիշողությունը:Մենք ցույց ենք տալիս պատրաստված համակարգի չափումներ, որոնք ներառում են հիշողության վրա հիմնված դիմադրողական համընկնման դետեկտոր, հետաձգման գծի սխեման և լիովին կարգավորելի ուլտրաձայնային փոխարկիչ:Մենք օգտագործում ենք այս փորձարարական արդյունքները համակարգի մակարդակով սիմուլյացիաները չափորոշելու համար:Այս սիմուլյացիան այնուհետև օգտագործվում է օբյեկտի տեղայնացման մոդելի անկյունային լուծումը և էներգիայի արդյունավետությունը գնահատելու համար:Արդյունքները ցույց են տալիս, որ մեր մոտեցումը կարող է մի քանի աստիճանով ավելի էներգաարդյունավետ լինել, քան նույն առաջադրանքը կատարող միկրոկոնտրոլերները:
Մենք թեւակոխում ենք համատարած հաշվարկների դարաշրջան, որտեղ տեղակայված սարքերի և համակարգերի թիվը երկրաչափորեն աճում է՝ օգնելու մեզ մեր առօրյա կյանքում:Ակնկալվում է, որ այս համակարգերը կաշխատեն անընդհատ՝ սպառելով հնարավորինս քիչ էներգիա՝ միաժամանակ սովորելով մեկնաբանել այն տվյալները, որոնք նրանք հավաքում են բազմաթիվ սենսորներից իրական ժամանակում և արտադրում են երկուական արդյունք՝ դասակարգման կամ ճանաչման առաջադրանքների արդյունքում:Այս նպատակին հասնելու համար անհրաժեշտ ամենակարևոր քայլերից մեկը աղմկոտ և հաճախ թերի զգայական տվյալներից օգտակար և կոմպակտ տեղեկատվության արդյունահանումն է:Սովորական ինժեներական մոտեցումները սովորաբար նմուշառում են սենսորային ազդանշանները հաստատուն և բարձր արագությամբ՝ գեներացնելով մեծ քանակությամբ տվյալներ նույնիսկ օգտակար մուտքերի բացակայության դեպքում:Բացի այդ, այս մեթոդները օգտագործում են թվային ազդանշանի մշակման բարդ տեխնիկա՝ մուտքագրված (հաճախ աղմկոտ) տվյալները նախապես մշակելու համար:Փոխարենը, կենսաբանությունն առաջարկում է այլընտրանքային լուծումներ աղմկոտ զգայական տվյալների մշակման համար՝ օգտագործելով էներգաարդյունավետ, ասինխրոն, իրադարձությունների վրա հիմնված մոտեցումները (spikes)2,3:Նեյրոմորֆային հաշվարկը ոգեշնչվում է կենսաբանական համակարգերից՝ նվազեցնելու հաշվարկային ծախսերը էներգիայի և հիշողության պահանջների առումով՝ համեմատած ավանդական ազդանշանների մշակման մեթոդների հետ4,5,6:Վերջերս ցուցադրվել են ուղեղի վրա հիմնված նորարարական ընդհանուր նշանակության համակարգեր, որոնք իրականացնում են իմպուլսային նեյրոնային ցանցեր (TrueNorth7, BrainScaleS8, DYNAP-SE9, Loihi10, Spinnaker11):Այս պրոցեսորները ապահովում են ցածր էներգիայի, ցածր հետաձգման լուծումներ մեքենայական ուսուցման և կեղևային շղթայի մոդելավորման համար:Իրենց էներգաարդյունավետությունն ամբողջությամբ օգտագործելու համար այս նեյրոմորֆ պրոցեսորները պետք է ուղղակիորեն միացված լինեն իրադարձությունների վրա հիմնված սենսորներին12,13:Այնուամենայնիվ, այսօր կան միայն մի քանի հպման սարքեր, որոնք ուղղակիորեն ապահովում են իրադարձությունների վրա հիմնված տվյալներ:Ակնառու օրինակներ են դինամիկ տեսողական սենսորները (DVS)՝ տեսողության կիրառման համար, ինչպիսիք են՝ հետևելը և շարժման հայտնաբերումը14,15,16,17, սիլիցիումի կոխլեան18 և նեյրոմորֆ լսողական տվիչները (NAS)19՝ լսողական ազդանշանի մշակման համար, հոտառական սենսորները20 և հպման բազմաթիվ օրինակներ21,22:.հյուսվածքային սենսորներ:
Այս հոդվածում մենք ներկայացնում ենք իրադարձությունների վրա հիմնված լսողական մշակման նոր մշակված համակարգ, որը կիրառվում է օբյեկտների տեղայնացման համար:Այստեղ, առաջին անգամ, մենք նկարագրում ենք օբյեկտների տեղայնացման ծայրից ծայր համակարգ, որը ստացվել է գերժամանակակից պիեզոէլեկտրական միկրոմեքենայացված ուլտրաձայնային փոխարկիչին (pMUT) միացնելով նեյրոմորֆ դիմադրողական հիշողության (RRAM) վրա հիմնված հաշվողական գրաֆիկով:RRAM օգտագործող հիշողության հաշվողական ճարտարապետությունները խոստումնալից լուծում են էներգիայի սպառումը նվազեցնելու համար23,24,25,26,27,28,29:Նրանց բնորոշ ոչ անկայունությունը, որը չի պահանջում ակտիվ էներգիայի սպառում տեղեկատվությունը պահելու կամ թարմացնելու համար, լիովին համապատասխանում է նեյրոմորֆ հաշվարկման ասինխրոն, իրադարձությունների վրա հիմնված բնույթին, ինչը հանգեցնում է էներգիայի գրեթե ոչ մի սպառման, երբ համակարգը անգործուն է:Պիեզոէլեկտրական միկրոմեքենայացված ուլտրաձայնային փոխարկիչները (pMUTs) էժան, մանրացված սիլիցիումի վրա հիմնված ուլտրաձայնային փոխարկիչներ են, որոնք կարող են գործել որպես հաղորդիչներ և ընդունիչներ30,31,32,33,34:Ներկառուցված սենսորների կողմից ստացված ազդանշանները մշակելու համար մենք ոգեշնչված ենք գոմի բուերի նեյրոանատոմիայից35,36,37:Գոմի բու Tyto alba-ն հայտնի է գիշերային որսի իր ուշագրավ ունակություններով՝ շնորհիվ շատ արդյունավետ լսողական տեղայնացման համակարգի:Որսի գտնվելու վայրը հաշվարկելու համար գոմի բուի տեղայնացման համակարգը կոդավորում է թռիչքի ժամանակը (ToF), երբ որսի ձայնային ալիքները հասնում են բուի յուրաքանչյուր ականջին կամ ձայնային ընկալիչին։Հաշվի առնելով ականջների միջև հեռավորությունը՝ երկու ToF չափումների տարբերությունը (Interaural Time Difference, ITD) հնարավորություն է տալիս վերլուծական կերպով հաշվարկել թիրախի ազիմուտային դիրքը:Չնայած կենսաբանական համակարգերը վատ են համապատասխանում հանրահաշվական հավասարումների լուծմանը, նրանք կարող են շատ արդյունավետ լուծել տեղայնացման խնդիրները:Գոմի բու նյարդային համակարգը օգտագործում է համընկնման դետեկտորի (CD)35 նեյրոնների մի շարք (այսինքն՝ նեյրոններ, որոնք ունակ են հայտնաբերելու ժամանակավոր հարաբերակցությունը հասկերի միջև, որոնք տարածվում են դեպի ներքև դեպի կոնվերգենտ գրգռիչ վերջավորություններ)38,39 կազմակերպված հաշվողական գրաֆիկների՝ դիրքավորման խնդիրները լուծելու համար:
Նախորդ հետազոտությունները ցույց են տվել, որ կոմպլեմենտար մետաղ-օքսիդ-կիսահաղորդչային (CMOS) սարքաշարը և RRAM-ի վրա հիմնված նեյրոմորֆային սարքավորումը, որը ոգեշնչված է գոմի ստորադաս կոլիկուլուսից («լսողական կեղև») արդյունավետ մեթոդ է ITD13, 40, 41 օգտագործմամբ դիրքը հաշվարկելու համար: 42, 43, 44, 45, 46: Այնուամենայնիվ, ամբողջական նեյրոմորֆ համակարգերի ներուժը, որոնք լսողական ազդանշանները կապում են նեյրոմորֆ հաշվողական գրաֆիկների հետ, դեռ պետք է ցուցադրվեն:Հիմնական խնդիրը անալոգային CMOS սխեմաների բնորոշ փոփոխականությունն է, որն ազդում է համընկնումների հայտնաբերման ճշգրտության վրա:Վերջերս ցուցադրվել են ITD47 գնահատումների այլընտրանքային թվային իրականացումներ:Այս հոդվածում մենք առաջարկում ենք օգտագործել RRAM-ի կարողությունը՝ փոխելու հաղորդունակության արժեքը ոչ անկայուն կերպով՝ անալոգային սխեմաների փոփոխականությանը հակազդելու համար:Մենք ներդրեցինք փորձարարական համակարգ, որը բաղկացած էր մեկ pMUT հաղորդիչ թաղանթից, որն աշխատում է 111,9 կՀց հաճախականությամբ, երկու pMUT ընդունող թաղանթներից (սենսորներից), որոնք նմանակում են գոմի բու ականջները, և մեկ:Մենք փորձնականորեն բնութագրեցինք pMUT հայտնաբերման համակարգը և RRAM-ի վրա հիմնված ITD հաշվողական գրաֆիկը՝ մեր տեղայնացման համակարգը փորձարկելու և դրա անկյունային լուծումը գնահատելու համար:
Մենք համեմատում ենք մեր մեթոդը միկրոկոնտրոլերի վրա թվային ներդրման հետ, որը կատարում է նույն տեղայնացման առաջադրանքը՝ օգտագործելով ճառագայթային ձևավորման կամ նեյրոմորֆիկ մեթոդները, ինչպես նաև դաշտային ծրագրավորվող դարպասների զանգվածը (FPGA)՝ տեղեկանքում առաջարկված ITD գնահատման համար:47. Այս համեմատությունը ընդգծում է առաջարկվող RRAM-ի վրա հիմնված անալոգային նեյրոմորֆ համակարգի մրցակցային էներգիայի արդյունավետությունը:
Օբյեկտների տեղայնացման ճշգրիտ և արդյունավետ համակարգի ամենավառ օրինակներից մեկը կարելի է գտնել barn owl-ում35,37,48:Մթնշաղին և լուսադեմին գոմի բուն (Tyto Alba) հիմնականում ապավինում է պասիվ ունկնդրմանը, ակտիվորեն փնտրում է փոքր որս, ինչպիսիք են ձագերը կամ մկները:Այս լսողական փորձագետները կարող են տեղայնացնել որսի լսողական ազդանշանները զարմանալի ճշգրտությամբ (մոտ 2°)35, ինչպես ցույց է տրված Նկար 1ա-ում:Գոմի բուերը ազիմուտ (հորիզոնական) հարթությունում ձայնի աղբյուրների գտնվելու վայրը ենթադրում են ձայնի աղբյուրից երկու ականջ թռչելու ժամի (ՏՏՏ) տարբերությունից:ITD հաշվողական մեխանիզմն առաջարկվել է Jeffress49,50-ի կողմից, որը հիմնված է նյարդային երկրաչափության վրա և պահանջում է երկու հիմնական բաղադրիչ՝ աքսոն, նեյրոնի նյարդային մանրաթել, որը գործում է որպես հետաձգման գիծ և համընկնման դետեկտոր նեյրոնների զանգված, որոնք կազմակերպվում են հաշվարկային համակարգում:գրաֆիկ, ինչպես ցույց է տրված Նկար 1b-ում:Ձայնը հասնում է ականջին ազիմուտից կախված ժամանակի ուշացումով (ITD):Ձայնը այնուհետև վերածվում է յուրաքանչյուր ականջի ցցաձևի:Ձախ և աջ ականջների աքսոնները գործում են որպես հետաձգման գծեր և միանում են CD նեյրոններին:Տեսականորեն, համընկնող նեյրոնների զանգվածից միայն մեկ նեյրոն կստանա մուտքագրում (որտեղ ուշացումն ուղղակիորեն վերանում է) և առավելագույնս կաշխատի (հարևան բջիջները նույնպես կկրակեն, բայց ավելի ցածր հաճախականությամբ):Որոշ նեյրոնների ակտիվացումը կոդավորում է թիրախի դիրքը տարածության մեջ՝ առանց ITD-ն անկյունների հետագա փոխակերպման:Այս հայեցակարգն ամփոփված է Նկար 1c-ում. օրինակ, եթե ձայնը գալիս է աջ կողմից, երբ աջ ականջից մուտքային ազդանշանը անցնում է ավելի երկար ճանապարհ, քան ձախ ականջից եկող ճանապարհը՝ փոխհատուցելով ITD-ների քանակը, օրինակ. երբ նեյրոն 2-ը համընկնում է:Այլ կերպ ասած, յուրաքանչյուր CD արձագանքում է որոշակի ITD-ին (նաև հայտնի է որպես օպտիմալ հետաձգում) աքսոնային ուշացման պատճառով:Այսպիսով, ուղեղը ժամանակային տեղեկատվությունը վերածում է տարածական տեղեկատվության:Այս մեխանիզմի անատոմիական ապացույցներ են հայտնաբերվել37,51:Ֆազային կողպված մակրոնուկլեուսի նեյրոնները պահում են ժամանակային տեղեկատվություն մուտքային հնչյունների մասին. ինչպես ենթադրում է նրանց անունը, նրանք կրակում են ազդանշանի որոշակի փուլերի վրա:Ջեֆրեսի մոդելի պատահականության դետեկտոր նեյրոնները կարելի է գտնել շերտավոր միջուկում:Նրանք տեղեկատվություն են ստանում մակրոմիջուկային նեյրոններից, որոնց աքսոնները գործում են որպես հետաձգման գծեր։Հետաձգման գծով նախատեսված ուշացման չափը կարելի է բացատրել աքսոնի երկարությամբ, ինչպես նաև միելինացիայի մեկ այլ ձևով, որը փոխում է հաղորդման արագությունը:Ոգեշնչված գոմի բուի լսողական համակարգից՝ մենք մշակել ենք օբյեկտների տեղայնացման բիոմիմետիկ համակարգ:Երկու ականջները ներկայացված են երկու pMUT ընդունիչներով:Ձայնի աղբյուրը pMUT հաղորդիչն է, որը գտնվում է նրանց միջև (նկ. 1ա), իսկ հաշվողական գրաֆիկը ձևավորվում է RRAM-ի վրա հիմնված CD սխեմաների ցանցով (նկ. 1b, կանաչ), որոնք խաղում են CD նեյրոնների դերը, որոնց մուտքերը հետաձգվում են:շղթայի միջոցով հետաձգման գծերը (կապույտ) գործում են որպես աքսոններ կենսաբանական գործընկերոջ մեջ:Առաջարկվող զգայական համակարգը գործառնական հաճախականությամբ տարբերվում է բուիից, որի լսողական համակարգը գործում է 1-8 կՀց միջակայքում, սակայն այս աշխատանքում օգտագործվում են pMUT սենսորներ, որոնք աշխատում են մոտ 117 կՀց հաճախականությամբ:Ուլտրաձայնային փոխարկիչի ընտրությունը դիտարկվում է ըստ տեխնիկական և օպտիմալացման չափանիշների:Նախ, ընդունման թողունակությունը մեկ հաճախականությամբ սահմանափակելը իդեալականորեն բարելավում է չափման ճշգրտությունը և պարզեցնում հետմշակման քայլը:Բացի այդ, ուլտրաձայնային վիրահատությունն ունի այն առավելությունը, որ արտանետվող իմպուլսները լսելի չեն, հետևաբար չանհանգստացնեն մարդկանց, քանի որ նրանց լսողական տիրույթը ~20-20 կՀց է:
գոմի բուն ձայնային ալիքներ է ստանում թիրախից, այս դեպքում՝ շարժվող որսը:Ձայնային ալիքի թռիչքի ժամանակը (ToF) տարբեր է յուրաքանչյուր ականջի համար (եթե որսը գտնվում է բվի ուղիղ դիմաց):Կետավոր գիծը ցույց է տալիս այն ճանապարհը, որով ձայնային ալիքները հասնում են գոմի բուի ականջներին:Որսը կարող է ճշգրիտ տեղայնացվել հորիզոնական հարթության վրա՝ հիմնվելով երկու ակուստիկ ուղիների երկարության տարբերության և համապատասխան միջլսողական ժամանակի տարբերության (ՏՏՏ) միջև (ձախ պատկերը ներշնչված է հղում 74-ից, հեղինակային իրավունք 2002թ., Հասարակություն նյարդաբանության համար):Մեր համակարգում pMUT հաղորդիչը (մուգ կապույտ) առաջացնում է ձայնային ալիքներ, որոնք ցատկում են թիրախից:Արտացոլված ուլտրաձայնային ալիքները ստացվում են երկու pMUT ընդունիչներով (բաց կանաչ) և մշակվում նեյրոմորֆ պրոցեսորի կողմից (աջից):բ ITD (Ջեֆրես) հաշվողական մոդել, որը նկարագրում է, թե ինչպես են գոմի բուի ականջները ներթափանցող ձայները նախ կոդավորվում որպես փուլային կողպեքներ մեծ միջուկում (NM), այնուհետև օգտագործում են համապատասխան դետեկտորային նեյրոնների երկրաչափորեն դասավորված ցանց շերտավոր միջուկում:Մշակում (Նիդեռլանդներ) (ձախ).NeuroITD հաշվողական գրաֆիկի նկարազարդումը, որը միավորում է հետաձգման գծերը և պատահականության դետեկտորային նեյրոնները, բու բիոսենսորային համակարգը կարող է մոդելավորվել RRAM-ի վրա հիմնված նեյրոմորֆիկ սխեմաների միջոցով (աջից):գ Ջեֆրեսի հիմնական մեխանիզմի սխեման, ToF-ի տարբերության պատճառով երկու ականջները տարբեր ժամանակներում ձայնային գրգռիչներ են ստանում և երկու ծայրերից աքսոններ ուղարկում դետեկտոր:Աքսոնները մի շարք համընկնման դետեկտորի (CD) նեյրոնների մի մասն են, որոնցից յուրաքանչյուրը ընտրողաբար արձագանքում է խիստ ժամանակի հետ փոխկապակցված մուտքերին:Արդյունքում, միայն այն ձայնասկավառակները, որոնց մուտքերը ժամանում են ամենափոքր ժամանակային տարբերությամբ, մաքսիմալ հուզված են (ITD-ն ճշգրիտ փոխհատուցվում է):Այնուհետև CD-ն կկոդավորի թիրախի անկյունային դիրքը:
Պիեզոէլեկտրական միկրոմեխանիկական ուլտրաձայնային փոխարկիչները մասշտաբային ուլտրաձայնային փոխարկիչներ են, որոնք կարող են ինտեգրվել առաջադեմ CMOS տեխնոլոգիայի հետ31,32,33,52 և ունեն ավելի ցածր սկզբնական լարում և էներգիայի սպառում, քան ավանդական ծավալային փոխարկիչները53:Մեր աշխատանքում մեմբրանի տրամագիծը 880 մկմ է, իսկ ռեզոնանսային հաճախականությունը բաշխված է 110–117 կՀց միջակայքում (նկ. 2ա, մանրամասների համար տե՛ս Մեթոդներ):Տասը փորձարկման սարքերից բաղկացած խմբաքանակում միջին որակի գործակիցը մոտ 50 էր (հղում. 31):Տեխնոլոգիան հասել է արդյունաբերական հասունության և ինքնին բիոներշնչված չէ:Տարբեր pMUT թաղանթներից տեղեկատվության համադրումը հայտնի տեխնիկա է, և անկյունային տեղեկատվություն կարելի է ստանալ pMUT-ներից՝ օգտագործելով, օրինակ, ճառագայթային ձևավորման տեխնիկան31,54:Այնուամենայնիվ, անկյան մասին տեղեկատվությունը հանելու համար պահանջվող ազդանշանի մշակումը հարմար չէ ցածր հզորության չափումների համար:Առաջարկվող համակարգը համատեղում է նեյրոմորֆ տվյալների նախնական մշակման pMUT սխեման RRAM-ի վրա հիմնված նեյրոմորֆ հաշվարկման գրաֆիկի հետ, որը ոգեշնչված է Ջեֆրեսի մոդելով (Նկար 2c)՝ ապահովելով այլընտրանքային էներգաարդյունավետ և ռեսուրսներով սահմանափակ ապարատային լուծում:Մենք կատարեցինք մի փորձ, որի ժամանակ երկու pMUT սենսորները տեղադրվեցին մոտավորապես 10 սմ հեռավորության վրա, որպեսզի օգտագործեն տարբեր ToF ձայները, որոնք ստացվում են երկու ընդունող թաղանթների կողմից:Մեկ pMUT, որը հանդես է գալիս որպես հաղորդիչ, նստում է ստացողների միջև:Թիրախը 12 սմ լայնությամբ PVC ափսե էր, որը գտնվում էր pMUT սարքի դիմաց D հեռավորության վրա (նկ. 2բ):Ստացողը ձայնագրում է առարկայից արտացոլված ձայնը և հնարավորինս արձագանքում ձայնային ալիքի անցման ժամանակ:Կրկնել փորձը՝ փոխելով օբյեկտի դիրքը, որը որոշվում է D հեռավորությամբ և θ անկյունով:Ոգեշնչված է հղումով:55, մենք առաջարկում ենք pMUT չմշակված ազդանշանների նեյրոմորֆ նախնական մշակում՝ արտացոլված ալիքները գագաթների վերածելու համար՝ նեյրոմորֆ հաշվողական գրաֆիկ մուտքագրելու համար:Պիկ ամպլիտուդիային համապատասխան ToF-ը հանվում է երկու ալիքներից յուրաքանչյուրից և կոդավորված է որպես առանձին գագաթների ճշգրիտ ժամանակացույց:Նկ.2c-ը ցույց է տալիս pMUT սենսորը RRAM-ի վրա հիմնված հաշվողական գրաֆիկի հետ փոխկապակցելու համար անհրաժեշտ սխեման. երկու pMUT ընդունիչներից յուրաքանչյուրի համար չմշակված ազդանշանը զտվում է ժապավենային անցումով՝ հարթելու, ուղղելու և այնուհետև հաղթահարման ռեժիմում փոխանցվում է արտահոսող ինտեգրատորին:դինամիկ շեմը (նկ. 2d) ստեղծում է ելքային իրադարձություն (հասկ) և կրակող (LIF) նեյրոն. ելքային հասկի ժամանակը կոդավորում է հայտնաբերված թռիչքի ժամանակը:LIF շեմը տրամաչափված է pMUT արձագանքի նկատմամբ՝ դրանով իսկ նվազեցնելով pMUT փոփոխականությունը սարքից սարք:Այս մոտեցմամբ, ամբողջ ձայնային ալիքը հիշողության մեջ պահելու և այն հետագայում մշակելու փոխարեն, մենք պարզապես ստեղծում ենք ձայնային ալիքի ToF-ին համապատասխանող գագաթ, որը կազմում է դիմադրողական հիշողության հաշվարկային գրաֆիկի մուտքը:Կծիկները ուղարկվում են անմիջապես հապաղման գծերին և զուգահեռացվում են համընկնումների հայտնաբերման մոդուլների հետ նեյրոմորֆ հաշվարկային գրաֆիկներում:Քանի որ դրանք ուղարկվում են տրանզիստորների դարպասներին, լրացուցիչ ուժեղացման սխեման չի պահանջվում (մանրամասների համար տե՛ս Լրացուցիչ նկ. 4):pMUT-ի և առաջարկվող ազդանշանի մշակման մեթոդի կողմից տրամադրված տեղայնացման անկյունային ճշգրտությունը գնահատելու համար մենք չափեցինք ITD-ը (այսինքն՝ երկու ընդունիչների կողմից առաջացած գագաթնակետային իրադարձությունների միջև ժամանակի տարբերությունը), քանի որ օբյեկտի հեռավորությունն ու անկյունը տարբերվում էին:Այնուհետև ITD վերլուծությունը վերածվեց անկյունների (տես Մեթոդներ) և գծագրվեց օբյեկտի դիրքի համեմատ. չափված ITD-ում անորոշությունը մեծանում էր օբյեկտի հեռավորության և անկյունի հետ (նկ. 2e,f):Հիմնական խնդիրը pMUT արձագանքում գագաթնակետ-աղմուկ հարաբերակցությունն է (PNR):Որքան հեռու է օբյեկտը, այնքան ցածր է ձայնային ազդանշանը, դրանով իսկ նվազեցնելով PNR-ը (նկ. 2f, կանաչ գիծ):PNR-ի նվազումը հանգեցնում է ITD-ի գնահատման անորոշության աճին, ինչը հանգեցնում է տեղայնացման ճշգրտության բարձրացման (նկ. 2f, կապույտ գիծ):Հաղորդիչից 50 սմ հեռավորության վրա գտնվող օբյեկտի համար համակարգի անկյունային ճշգրտությունը մոտավորապես 10° է:Սենսորի բնութագրերով պարտադրված այս սահմանափակումը կարող է բարելավվել:Օրինակ, էմիտերի կողմից ուղարկված ճնշումը կարող է մեծանալ՝ դրանով իսկ մեծացնելով pMUT թաղանթը վարող լարումը:Հաղորդվող ազդանշանն ուժեղացնելու մեկ այլ լուծում է մի քանի հաղորդիչ 56 միացնելը: Այս լուծումները կմեծացնեն հայտնաբերման տիրույթը՝ էներգիայի ծախսերի ավելացման հաշվին:Լրացուցիչ բարելավումներ կարող են կատարվել ընդունող կողմում:pMUT-ի ընդունիչի աղմուկի հատակը կարող է զգալիորեն կրճատվել՝ բարելավելով կապը pMUT-ի և առաջին փուլի ուժեղացուցիչի միջև, որը ներկայումս իրականացվում է մետաղալարային միացումներով և RJ45 մալուխներով:
pMUT բյուրեղի պատկեր՝ վեց 880 մկմ թաղանթներով, որոնք ինտեգրված են 1,5 մմ բարձրության վրա:բ Չափիչ սարքավորման դիագրամ:Թիրախը գտնվում է θ ազիմուտ դիրքում և D հեռավորության վրա: pMUT հաղորդիչը առաջացնում է 117,6 կՀց ազդանշան, որը ցատկում է թիրախից և հասնում է երկու pMUT ընդունիչների՝ թռիչքի տարբեր ժամանակով (ToF):Այս տարբերությունը, որը սահմանվում է որպես միջլսային ժամանակի տարբերություն (ՏՏՏ), կոդավորում է օբյեկտի դիրքը և կարող է գնահատվել՝ գնահատելով երկու ընդունիչ սենսորների առավելագույն արձագանքը։c Նախամշակման քայլերի սխեման՝ չմշակված pMUT ազդանշանը հասկային հաջորդականությունների վերածելու համար (այսինքն՝ մուտքագրում նեյրոմորֆ հաշվարկման գրաֆիկին):pMUT սենսորները և նեյրոմորֆ հաշվողական գրաֆիկները պատրաստվել և փորձարկվել են, իսկ նեյրոմորֆային նախնական մշակումը հիմնված է ծրագրային մոդելավորման վրա:d pMUT մեմբրանի արձագանքը ազդանշան ստանալուց և դրա փոխակերպումը հասկի տիրույթի:ե Փորձարարական տեղայնացման անկյունային ճշգրտություն՝ որպես թիրախ օբյեկտի անկյան (Θ) և հեռավորության (D) ֆունկցիա։ITD-ի արդյունահանման մեթոդը պահանջում է մոտավորապես 4°C անկյունային նվազագույն լուծում:f Անկյունային ճշտություն (կապույտ գիծ) և համապատասխան գագաթնակետ-աղմուկ հարաբերակցությունը (կանաչ գիծ) օբյեկտի հեռավորության նկատմամբ Θ = 0-ի համար:
Դիմադրողական հիշողությունը պահպանում է տեղեկատվությունը ոչ ցնդող հաղորդունակ վիճակում:Մեթոդի հիմնական սկզբունքն այն է, որ նյութի ատոմային մակարդակում ձևափոխումն առաջացնում է նրա էլեկտրական հաղորդունակության փոփոխություն57:Այստեղ մենք օգտագործում ենք օքսիդի վրա հիմնված դիմադրողական հիշողություն, որը բաղկացած է հաֆնիումի երկօքսիդի 5 նմ շերտից, որը գտնվում է վերին և ստորին տիտանի և տիտանի նիտրիդային էլեկտրոդների միջև:RRAM սարքերի հաղորդունակությունը կարող է փոխվել՝ կիրառելով հոսանքի/լարման ալիքի ձև, որը ստեղծում կամ կոտրում է էլեկտրոդների միջև թթվածնի բաց տեղերի հաղորդիչ թելեր:Մենք համատեղ ինտեգրեցինք նման սարքերը58 ստանդարտ 130 նմ CMOS գործընթացում՝ ստեղծելու պատրաստված վերակազմավորվող նեյրոմորֆիկ միացում, որն իրականացնում է համընկնման դետեկտոր և հետաձգման գծի միացում (նկ. 3ա):Սարքի ոչ անկայուն և անալոգային բնույթը, զուգորդված նեյրոմորֆիկ սխեմայի իրադարձությունների վրա հիմնված բնույթի հետ, նվազագույնի է հասցնում էներգիայի սպառումը:Շղթան ունի ակնթարթային միացման/անջատման ֆունկցիա. այն գործում է անմիջապես միացնելուց հետո՝ թույլ տալով, որ հոսանքը ամբողջությամբ անջատվի, երբ միացումը պարապ վիճակում է:Առաջարկվող սխեմայի հիմնական շինանյութերը ներկայացված են նկ.3բ.Այն բաղկացած է N զուգահեռ մեկ ռեզիստորային մեկ տրանզիստորային (1T1R) կառուցվածքներից, որոնք կոդավորում են սինապտիկ կշիռները, որոնցից վերցվում են կշռված հոսանքները, ներարկվում են դիֆերենցիալ զույգ ինտեգրատորի (DPI) ընդհանուր սինապսում59 և վերջապես ներարկվում են սինապս՝ ինտեգրումով և արտահոսք.ակտիվացված (LIF) նեյրոն 60 (տես Մեթոդներ մանրամասների համար):Մուտքային ալիքները կիրառվում են 1T1R կառուցվածքի դարպասի վրա հարյուրավոր նանվայրկյանների կարգի տևողությամբ լարման իմպուլսների հաջորդականության տեսքով:Դիմադրողական հիշողությունը կարող է տեղադրվել բարձր հաղորդունակ վիճակում (HCS)՝ կիրառելով արտաքին դրական հղում Vtop-ին, երբ Vbottom-ը հիմնավորված է, և վերականգնել ցածր հաղորդունակ վիճակի (LCS)՝ կիրառելով դրական լարում Vbottom-ին, երբ Vtop-ը հիմնավորված է:HCS-ի միջին արժեքը կարելի է կառավարել՝ սահմանափակելով SET-ի (ICC) ծրագրավորման հոսանքը (համապատասխանությունը) սերիայի տրանզիստորի դարպաս-աղբյուր լարման միջոցով (նկ. 3c):Շղթայում RRAM-ի գործառույթները երկուսն են՝ ուղղորդում և կշռում են մուտքային իմպուլսները:
Սկանավորող էլեկտրոնային մանրադիտակի (SEM) պատկեր՝ կապույտ HfO2 1T1R RRAM սարքի, որը ինտեգրված է 130 նմ CMOS տեխնոլոգիայի մեջ՝ սելեկտոր տրանզիստորներով (650 նմ լայնությամբ) կանաչ գույնով:բ Առաջարկվող նեյրոմորֆիկ սխեմայի հիմնական կառուցողական բլոկները:Մուտքային լարման իմպուլսները (գագաթները) Vin0 և Vin1 սպառում են ընթացիկ I քաշը, որը համաչափ է 1T1R կառուցվածքի G0 և G1 հաղորդման վիճակներին:Այս հոսանքը ներարկվում է DPI սինապսներում և գրգռում LIF նեյրոնները:RRAM G0 և G1 տեղադրվում են համապատասխանաբար HCS և LCS-ում:գ 16K RRAM սարքերի խմբի համար կուտակային հաղորդունակության խտության ֆունկցիա՝ որպես ICC հոսանքի համապատասխանության ֆունկցիա, որն արդյունավետորեն վերահսկում է հաղորդման մակարդակը:դ Շղթայի չափումները (ա)-ում ցույց են տալիս, որ G1-ը (LCS-ում) արդյունավետորեն արգելափակում է Vin1-ի մուտքը (կանաչ), և իսկապես ելքային նեյրոնի մեմբրանի լարումը արձագանքում է միայն Vin0-ի կապույտ մուտքին:RRAM-ն արդյունավետորեն որոշում է միացումները միացումում:ե (b) սխեմայի չափումը, որը ցույց է տալիս G0 հաղորդունակության արժեքի ազդեցությունը Vmem մեմբրանի լարման վրա Vin0 լարման իմպուլս կիրառելուց հետո:Որքան մեծ է հաղորդունակությունը, այնքան ավելի ուժեղ է արձագանքը. հետևաբար, RRAM սարքը իրականացնում է I/O կապի կշռում:Չափումները կատարվել են շղթայի վրա և ցույց են տալիս RRAM-ի երկակի ֆունկցիան, մուտքային իմպուլսների երթուղում և կշռում:
Նախ, քանի որ կան երկու հիմնական հաղորդման վիճակներ (HCS և LCS), RRAM-ները կարող են արգելափակել կամ բաց թողնել մուտքային իմպուլսները, երբ դրանք համապատասխանաբար գտնվում են LCS կամ HCS վիճակներում:Արդյունքում, RRAM-ը արդյունավետորեն որոշում է միացումները միացումում:Սա հիմք է, որպեսզի կարողանանք վերակազմավորել ճարտարապետությունը:Դա ցույց տալու համար մենք կնկարագրենք շղթայի բլոկի պատրաստված շղթայի իրականացումը Նկ. 3b-ում:G0-ին համապատասխան RRAM-ը ծրագրավորվում է HCS-ում, իսկ երկրորդ RRAM G1-ը ծրագրավորվում է LCS-ում:Ներածման իմպուլսները կիրառվում են ինչպես Vin0-ի, այնպես էլ Vin1-ի վրա:Մուտքային իմպուլսների երկու հաջորդականությունների ազդեցությունը վերլուծվել է ելքային նեյրոններում՝ հավաքելով նեյրոնային մեմբրանի լարումը և ելքային ազդանշանը՝ օգտագործելով օսցիլոսկոպ:Փորձը հաջող էր, երբ միայն HCS սարքը (G0) միացված էր նեյրոնի զարկերակին՝ թաղանթի լարվածությունը խթանելու համար։Սա ցույց է տրված Նկար 3d-ում, որտեղ կապույտ իմպուլսային գնացքը առաջացնում է մեմբրանի լարման կուտակում մեմբրանի կոնդենսատորի վրա, մինչդեռ կանաչ զարկերակային գնացքը մեմբրանի լարումը հաստատուն է պահում:
RRAM-ի երկրորդ կարևոր գործառույթը կապի կշիռների իրականացումն է:Օգտագործելով RRAM-ի հաղորդունակության անալոգային կարգավորումը, I/O միացումները կարող են համապատասխանաբար կշռվել:Երկրորդ փորձի ժամանակ G0 սարքը ծրագրավորվել է HCS-ի տարբեր մակարդակներում, իսկ մուտքային զարկերակը կիրառվել է Vin0 մուտքի վրա:Մուտքային իմպուլսը սարքից վերցնում է հոսանք (Iweight), որը համաչափ է հաղորդունակությանը և Vtop − Vbot համապատասխան պոտենցիալ անկմանը:Այս կշռված հոսանքն այնուհետև ներարկվում է DPI սինապսների և LIF ելքային նեյրոնների մեջ:Ելքային նեյրոնների թաղանթային լարումը գրանցվել է օսցիլոսկոպի միջոցով և ցուցադրվել Նկար 3d-ում:Նեյրոնային մեմբրանի լարման գագաթնակետը, ի պատասխան մեկ մուտքային իմպուլսի, համաչափ է դիմադրողական հիշողության հաղորդունակությանը, ինչը ցույց է տալիս, որ RRAM-ը կարող է օգտագործվել որպես սինապտիկ քաշի ծրագրավորվող տարր:Այս երկու նախնական թեստերը ցույց են տալիս, որ առաջարկվող RRAM-ի վրա հիմնված նեյրոմորֆիկ պլատֆորմը ի վիճակի է իրականացնել Ջեֆրեսի հիմնական մեխանիզմի հիմնական տարրերը, այն է՝ հետաձգման գիծը և համընկնման դետեկտորի միացումը:Շղթայի հարթակը կառուցված է իրար կողքի իրար հաջորդող բլոկները, ինչպիսիք են Նկար 3b-ի բլոկները, և դրանց դարպասները միացնելով ընդհանուր մուտքային գծին:Մենք նախագծեցինք, պատրաստեցինք և փորձարկեցինք նեյրոմորֆիկ հարթակ, որը բաղկացած է երկու ելքային նեյրոններից, որոնք ստանում են երկու մուտք (նկ. 4ա):Շղթայի դիագրամը ներկայացված է Նկար 4b-ում:Վերին 2 × 2 RRAM մատրիցը թույլ է տալիս մուտքային իմպուլսներն ուղղել երկու ելքային նեյրոնին, մինչդեռ ստորին 2 × 2 մատրիցը թույլ է տալիս կրկնվող միացումներ երկու նեյրոնների (N0, N1):Մենք ցույց ենք տալիս, որ այս հարթակը կարող է օգտագործվել հետաձգման գծի կոնֆիգուրացիայով և երկու տարբեր համընկնման դետեկտորի ֆունկցիաներով, ինչպես ցույց է տրված նկ. 4c-e-ի փորձարարական չափումներով:
Շրջանային դիագրամ, որը ձևավորվում է երկու ելքային նեյրոններով N0 և N1, որոնք ստանում են երկու մուտքեր 0 և 1: Զանգվածի վերին չորս սարքերը սահմանում են սինապտիկ կապերը մուտքից ելք, իսկ ներքևի չորս բջիջները սահմանում են կրկնվող կապերը նեյրոնների միջև:Գունավոր RRAM-ները ներկայացնում են HCS-ում կազմաձևված սարքերը աջ կողմում. HCS-ի սարքերը թույլ են տալիս միացումներ և ներկայացնում են կշիռները, մինչդեռ LCS-ի սարքերը արգելափակում են մուտքային իմպուլսները և անջատում միացումները ելքերին:b Շղթայի դիագրամ (a) ութ RRAM մոդուլներով, որոնք ընդգծված են կապույտով:գ Հետաձգման գծերը ձևավորվում են պարզապես օգտագործելով DPI սինապսների և LIF նեյրոնների դինամիկան:Կանաչ RRAM-ը դրված է բավականաչափ բարձր հաղորդունակության վրա, որպեսզի կարողանա ելքում անսարքություն առաջացնել Δt մուտքային ուշացումից հետո:դ ժամանակից կախված ազդանշանների ուղղության անզգայուն CD հայտնաբերման սխեմատիկ նկարազարդում:Ելքային նեյրոն 1, N1, կրակում է 0 և 1 մուտքերի վրա կարճ ուշացումով:ե Ուղղության զգայուն CD շղթա, շղթա, որը հայտնաբերում է, երբ մուտքային 1-ը մոտենում է մուտքային 0-ին և հասնում է 0-ից հետո: Շղթայի ելքը ներկայացված է նեյրոն 1-ով (N1):
Հետաձգման գիծը (Նկար 4c) պարզապես օգտագործում է DPI սինապսների և LIF նեյրոնների դինամիկ վարքագիծը, որպեսզի վերարտադրի մուտքային ցատկը Vin1-ից Vout1՝ հետաձգելով Tdel-ը:Միայն Vin1-ին և Vout1-ին միացված G3 RRAM-ն է ծրագրավորված HCS-ում, մնացած RRAM-ները ծրագրավորված են LCS-ում:G3 սարքը ծրագրավորվել է 92,6 µs-ի համար՝ ապահովելու համար, որ յուրաքանչյուր մուտքային իմպուլս մեծացնում է ելքային նեյրոնի մեմբրանի լարումը այնքան, որ հասնի շեմին և առաջացնի հետաձգված ելքային իմպուլս:Tdel-ի ուշացումը որոշվում է սինապտիկ և նյարդային ժամանակի հաստատուններով:Համընկնման դետեկտորները հայտնաբերում են ժամանակավոր փոխկապակցված, բայց տարածականորեն բաշխված մուտքային ազդանշանների առաջացումը:Ուղղության նկատմամբ զգայուն CD-ն հենվում է առանձին մուտքերի վրա, որոնք համընկնում են ընդհանուր ելքային նեյրոնին (Նկար 4d):Երկու RRAM-ները, որոնք միացնում են Vin0-ը և Vin1-ը համապատասխանաբար Vout1-ին, G2-ին և G4-ին, ծրագրավորված են բարձր հաղորդունակության համար:Vin0-ի և Vin1-ի վրա հասկերի միաժամանակյա ժամանումը մեծացնում է N1 նեյրոնային թաղանթի լարումը ելքային ցայտն առաջացնելու համար անհրաժեշտ շեմից բարձր:Եթե ​​երկու մուտքերը ժամանակի ընթացքում միմյանցից շատ հեռու են, ապա առաջին մուտքով կուտակված մեմբրանի լարման լիցքը կարող է քայքայվել՝ թույլ չտալով, որ N1 մեմբրանի ներուժը հասնի շեմային արժեքին:G1-ը և G2-ը ծրագրավորված են մոտավորապես 65 µs-ի համար, ինչը երաշխավորում է, որ մեկ մուտքային ալիքը չի ավելացնում մեմբրանի լարումը այնքան, որ ելքային ալիք առաջացնի:Տարածության և ժամանակի մեջ բաշխված իրադարձությունների միջև պատահականության հայտնաբերումը հիմնարար գործողություն է, որն օգտագործվում է զգայական խնդիրների լայն շրջանակում, ինչպիսիք են օպտիկական հոսքի վրա հիմնված խոչընդոտներից խուսափելը և ձայնի աղբյուրի տեղայնացումը:Այսպիսով, ուղղության նկատմամբ զգայուն և անզգայուն ձայնասկավառակների հաշվարկը հիմնարար կառույց է տեսողական և ձայնային տեղայնացման համակարգերի կառուցման համար:Ինչպես ցույց են տալիս ժամանակի հաստատունների բնութագրերը (տես Լրացուցիչ նկար 2), առաջարկվող սխեման իրականացնում է չորս կարգի մեծության ժամանակային սանդղակների համապատասխան տիրույթ:Այսպիսով, այն կարող է միաժամանակ բավարարել տեսողական և ձայնային համակարգերի պահանջները։Ուղղորդված զգայուն CD-ն մի շղթա է, որը զգայուն է իմպուլսների ժամանման տարածական կարգի նկատմամբ՝ աջից ձախ և հակառակը:Այն հիմնական շինանյութ է Drosophila տեսողական համակարգի շարժման հայտնաբերման հիմնական ցանցում, որն օգտագործվում է շարժման ուղղությունները հաշվարկելու և բախումները հայտնաբերելու համար62:Ուղղության նկատմամբ զգայուն CD-ի հասնելու համար երկու մուտքեր պետք է ուղղվեն երկու տարբեր նեյրոնների (N0, N1) և պետք է ուղղորդված կապ հաստատվի նրանց միջև (նկ. 4e):Երբ ստացվում է առաջին մուտքը, NO-ն արձագանքում է՝ մեծացնելով լարումը իր մեմբրանի վրայով շեմային արժեքից բարձր և առաջացնելով ալիք:Այս ելքային իրադարձությունը, իր հերթին, կրակում է N1-ը կանաչ գույնով ընդգծված ուղղորդված կապի շնորհիվ:Եթե ​​Vin1 մուտքային իրադարձությունը գալիս է և էներգիա է տալիս N1-ին, մինչդեռ նրա մեմբրանի լարումը դեռ բարձր է, N1-ը առաջացնում է ելքային իրադարձություն, որը ցույց է տալիս, որ երկու մուտքերի միջև համընկնում է գտնվել:Ուղղորդված միացումները թույլ են տալիս N1-ին ելք արձակել միայն այն դեպքում, եթե 1 մուտքը գալիս է 0 մուտքից հետո: G0, G3 և G7-ը ծրագրավորված են համապատասխանաբար 73,5 μS, 67,3 μS և 40,2 μS՝ ապահովելով, որ Vin0 մուտքի մեկ ցատկը առաջացնում է հետաձգում: ելքային հասկը, մինչդեռ N1-ի մեմբրանի պոտենցիալը հասնում է շեմին միայն այն ժամանակ, երբ երկու մուտքային պոռթկումները համաժամանակյա են գալիս:.
Փոփոխականությունը մոդելավորված նեյրոմորֆ համակարգերում անկատարության աղբյուր է63,64,65:Սա հանգեցնում է նեյրոնների և սինապսների տարասեռ վարքագծին:Նման թերությունների օրինակները ներառում են 30% (միջին ստանդարտ շեղում) փոփոխականությունը մուտքային աճի, ժամանակի հաստատունի և հրակայուն ժամանակաշրջանի մեջ, մի քանիսը նշելու համար (տես Մեթոդներ):Այս խնդիրն ավելի ցայտուն է դառնում, երբ մի քանի նեյրոնային սխեմաներ միացված են իրար, օրինակ՝ կողմնորոշման զգայուն CD-ն, որը բաղկացած է երկու նեյրոնից:Ճիշտ աշխատելու համար երկու նեյրոնների ավելացման և քայքայման ժամանակի հաստատունները պետք է հնարավորինս նման լինեն:Օրինակ, ներածման ավելացման մեծ տարբերությունը կարող է պատճառ դառնալ, որ մի նեյրոնը չափազանց արձագանքում է մուտքային զարկերակին, մինչդեռ մյուս նեյրոնը հազիվ արձագանքում է:Նկ.Նկար 5ա-ն ցույց է տալիս, որ պատահականորեն ընտրված նեյրոնները տարբեր կերպ են արձագանքում նույն մուտքային իմպուլսին:Այս նեյրոնային փոփոխականությունը վերաբերում է, օրինակ, ուղղության նկատմամբ զգայուն CD-ների ֆունկցիային:Նկ.-ում ցուցադրված սխեմայում:5b, c, նեյրոն 1-ի մուտքային շահույթը շատ ավելի բարձր է, քան 0-ն: Այսպիսով, նեյրոն 0-ին անհրաժեշտ է երեք մուտքային իմպուլս (1-ի փոխարեն) շեմին հասնելու համար, իսկ նեյրոն 1-ին, ինչպես և սպասվում էր, անհրաժեշտ է երկու մուտքային իրադարձություն:Ժամանակից կախված բիոմիմետիկ պլաստիկության (STDP) ներդրումը հնարավոր միջոց է մեղմելու ոչ ճշգրիտ և դանդաղ նյարդային և սինապտիկ սխեմաների ազդեցությունը համակարգի աշխատանքի վրա43:Այստեղ մենք առաջարկում ենք օգտագործել դիմադրողական հիշողության պլաստիկ վարքագիծը՝ որպես նյարդային մուտքի ուժեղացման վրա ազդելու միջոց և նվազեցնելու փոփոխականության ազդեցությունը նեյրոմորֆիկ սխեմաներում:Ինչպես ցույց է տրված նկ.4e, հաղորդունակության մակարդակները, որոնք կապված են RRAM սինապտիկ զանգվածի հետ, արդյունավետորեն մոդուլացրել են համապատասխան նյարդային մեմբրանի լարման արձագանքը:Մենք օգտագործում ենք կրկնվող RRAM ծրագրավորման ռազմավարություն:Տվյալ մուտքագրման համար սինապտիկ կշիռների հաղորդունակության արժեքները վերածրագրավորվում են այնքան ժամանակ, մինչև ստացվի շղթայի թիրախային վարքագիծը (տես Մեթոդներ):
ա ինը պատահականորեն ընտրված առանձին նեյրոնների պատասխանի փորձարարական չափումներ նույն մուտքային իմպուլսին:Արձագանքը տատանվում է բնակչության միջև՝ ազդելով մուտքային արժեքի և ժամանակի կայունության վրա:բ Նեյրոնների ազդեցության փորձարարական չափումներ ուղղության նկատմամբ զգայուն CD-ի վրա ազդող նեյրոնների փոփոխականության վրա:Երկու ուղղության զգայուն CD ելքային նեյրոնները տարբեր կերպ են արձագանքում մուտքային գրգռիչներին նեյրոնից նեյրոն փոփոխականության պատճառով:Նեյրոն 0-ն ունի ներածման ավելի ցածր հզորություն, քան նեյրոն 1-ը, ուստի ելքային ցիկ ստեղծելու համար անհրաժեշտ է երեք մուտքային իմպուլս (1-ի փոխարեն):Ինչպես և սպասվում էր, նեյրոն 1-ը հասնում է շեմին երկու մուտքային իրադարձություններով:Եթե ​​մուտքային 1-ը հասնում է Δt = 50 µs նեյրոն 0-ի բռնկումից հետո, CD-ն լուռ է մնում, քանի որ Δt-ն ավելի մեծ է, քան նեյրոն 1-ի ժամանակի հաստատունը (մոտ 22 µs):c-ն կրճատվում է Δt = 20 µs-ով, այնպես որ մուտքային 1-ը հասնում է գագաթնակետին, երբ նեյրոն 1-ի կրակումը դեռ բարձր է, ինչը հանգեցնում է երկու մուտքային իրադարձությունների միաժամանակյա հայտնաբերման:
ITD-ի հաշվարկման սյունակում օգտագործվող երկու տարրերն են հետաձգման գիծը և ուղղության անզգայուն CD-ն:Երկու սխեմաները պահանջում են ճշգրիտ տրամաչափում, որպեսզի ապահովեն օբյեկտների դիրքավորման լավ կատարումը:Հետաձգման գիծը պետք է ներկայացնի մուտքային գագաթնակետի ճշգրիտ հետաձգված տարբերակը (նկ. 6ա), իսկ CD-ն պետք է ակտիվացվի միայն այն ժամանակ, երբ մուտքը ընկնում է թիրախի հայտնաբերման տիրույթում:Հետաձգման գծի համար մուտքային միացումների սինապտիկ կշիռները (G3 նկ. 4ա-ում) վերածրագրավորվել են մինչև թիրախային ուշացումը ձեռք բերելը:Ծրագրի դադարեցման համար սահմանեք հանդուրժողականություն թիրախային ուշացման շուրջ. որքան փոքր է հանդուրժողականությունը, այնքան ավելի դժվար է հաջողությամբ սահմանել հետաձգման գիծը:Նկ.Նկար 6b-ում ներկայացված են հետաձգման գծի չափաբերման գործընթացի արդյունքները. կարելի է տեսնել, որ առաջարկվող սխեման կարող է ճշգրիտ ապահովել նախագծման սխեմայում պահանջվող բոլոր ուշացումները (10-ից մինչև 300 մկվ):Կալիբրացիայի կրկնությունների առավելագույն քանակը ազդում է չափաբերման գործընթացի որակի վրա. 200 կրկնությունները կարող են նվազեցնել սխալը մինչև 5%:Կալիբրացիայի մեկ կրկնումը համապատասխանում է RRAM բջիջի միացման/վերակայման գործողությանը:Կարգավորման գործընթացը նույնպես կարևոր է CD մոդուլի ակնթարթային փակ իրադարձությունների հայտնաբերման ճշգրտությունը բարելավելու համար:Ճշմարիտ դրական դրույքաչափի (այսինքն՝ տեղին ճանաչված իրադարձությունների արագությունը) 95%-ից բարձր (կապույտ գիծ Նկար 6c-ում) հասնելու համար պահանջվեց տասը չափորոշիչ կրկնություն:Այնուամենայնիվ, թյունինգի գործընթացը չի ազդել կեղծ դրական իրադարձությունների վրա (այսինքն՝ իրադարձությունների հաճախականության վրա, որոնք սխալմամբ ճանաչվել են համապատասխան):Մեկ այլ մեթոդ, որը դիտարկվում է կենսաբանական համակարգերում արագ ակտիվացող ուղիների ժամանակային սահմանափակումները հաղթահարելու համար, ավելորդությունն է (այսինքն, նույն օբյեկտի շատ պատճեններ օգտագործվում են տվյալ գործառույթը կատարելու համար):Ոգեշնչված կենսաբանությունից66, մենք յուրաքանչյուր CD մոդուլում տեղադրեցինք մի քանի CD սխեմաներ երկու հետաձգման գծերի միջև՝ նվազեցնելու կեղծ դրականների ազդեցությունը:Ինչպես ցույց է տրված նկ.6c (կանաչ գիծ), յուրաքանչյուր CD մոդուլում տեղադրելով CD-ի երեք տարրեր, կեղծ ահազանգերի արագությունը կարող է նվազեցնել 10-2-ից պակաս:
Նեյրոնային փոփոխականության ազդեցությունը հետաձգման գծի սխեմաների վրա:բ Հետաձգման գծերի սխեմաները կարող են մասշտաբվել մեծ ուշացումների՝ համապատասխան LIF նեյրոնների և DPI սինապսների ժամանակային հաստատունները մեծ արժեքների վրա դնելով:RRAM տրամաչափման ընթացակարգի կրկնությունների քանակի ավելացումը հնարավորություն տվեց զգալիորեն բարելավել նպատակային ուշացման ճշգրտությունը. 200 կրկնությունները նվազեցրին սխալը մինչև 5%:Մեկ կրկնությունը համապատասխանում է SET/RESET գործողությանը RRAM բջիջի վրա:c Jeffress մոդելի յուրաքանչյուր CD մոդուլ կարող է իրականացվել՝ օգտագործելով N զուգահեռ CD տարրեր՝ համակարգի խափանումների նկատմամբ ավելի մեծ ճկունության համար:d RRAM չափաբերման ավելի շատ կրկնությունները մեծացնում են իրական դրական դրույքաչափը (կապույտ գիծ), մինչդեռ կեղծ դրական դրույքաչափը անկախ է կրկնությունների քանակից (կանաչ գիծ):Ավելի շատ CD տարրերի զուգահեռ տեղադրումը խուսափում է CD մոդուլի համընկնումների կեղծ հայտնաբերումից:
Այժմ մենք գնահատում ենք Նկար 2-ում ցուցադրված օբյեկտների տեղայնացման ծայրից ծայր ինտեգրված համակարգի կատարումը և էներգիայի սպառումը` օգտագործելով pMUT սենսորի, CD-ի և հետաձգման գծի սխեմաների ակուստիկ հատկությունների չափումները, որոնք կազմում են նեյրոմորֆ հաշվողական գրաֆիկը:Ջեֆրեսի մոդելը (նկ. 1ա):Ինչ վերաբերում է նեյրոմորֆ հաշվողական գրաֆիկին, ապա որքան մեծ է CD մոդուլների թիվը, այնքան ավելի լավ է անկյունային լուծաչափը, բայց նաև այնքան բարձր է համակարգի էներգիան (նկ. 7ա):Փոխզիջման կարելի է հասնել՝ համեմատելով առանձին բաղադրիչների (pMUT սենսորների, նեյրոնների և սինապտիկ սխեմաների) ճշգրտությունը ամբողջ համակարգի ճշգրտության հետ:Հետաձգման գծի լուծումը սահմանափակվում է մոդելավորված սինապսների և նեյրոնների ժամանակային հաստատուններով, որոնք մեր սխեմայում գերազանցում են 10 µs-ը, ինչը համապատասխանում է 4° անկյունային լուծաչափին (տես Մեթոդներ):CMOS տեխնոլոգիայով ավելի առաջադեմ հանգույցները թույլ կտան նախագծել նյարդային և սինապտիկ սխեմաներ ավելի ցածր ժամանակային հաստատուններով, ինչը կհանգեցնի հետաձգման գծի տարրերի ավելի բարձր ճշգրտության:Այնուամենայնիվ, մեր համակարգում ճշգրտությունը սահմանափակվում է անկյունային դիրքի գնահատման pMUT սխալով, այսինքն՝ 10° (կապույտ հորիզոնական գիծ Նկար 7ա-ում):Մենք ֆիքսեցինք CD մոդուլների թիվը 40-ի վրա, որը համապատասխանում է մոտ 4° անկյունային լուծաչափին, այսինքն՝ հաշվողական գրաֆիկի անկյունային ճշգրտությանը (բաց կապույտ հորիզոնական գիծ Նկար 7ա-ում):Համակարգի մակարդակում դա տալիս է 4° լուծաչափ և 10° ճշգրտություն սենսորային համակարգի դիմաց 50 սմ հեռավորության վրա գտնվող օբյեկտների համար:Այս արժեքը համեմատելի է նեյրոմորֆ ձայնի տեղայնացման համակարգերի հետ, որոնք նշված են ref.67. Առաջարկվող համակարգի համեմատությունը ժամանակակից տեխնոլոգիայի հետ կարելի է գտնել Լրացուցիչ Աղյուսակ 1-ում: Լրացուցիչ pMUT-ների ավելացումը, ձայնային ազդանշանի մակարդակի բարձրացումը և էլեկտրոնային աղմուկի նվազեցումը հնարավոր ուղիներ են տեղայնացման ճշգրտության հետագա բարելավման համար:) գնահատվում է 9.7:նզ.55. Հաշվարկային գրաֆիկի վրա տրված 40 CD միավոր, SPICE մոդելավորումը գնահատեց էներգիան մեկ գործողության համար (այսինքն՝ օբյեկտի դիրքավորման էներգիան) 21,6 նՋ:Նեյրոմորֆիկ համակարգը ակտիվանում է միայն այն ժամանակ, երբ մուտքային իրադարձություն է գալիս, այսինքն, երբ ակուստիկ ալիքը հասնում է ցանկացած pMUT ընդունիչի և գերազանցում է հայտնաբերման շեմը, հակառակ դեպքում այն ​​մնում է ոչ ակտիվ:Սա խուսափում է էներգիայի ավելորդ սպառումից, երբ մուտքային ազդանշան չկա:Հաշվի առնելով տեղայնացման գործողությունների հաճախականությունը 100 Հց և ակտիվացման ժամանակահատվածը 300 µs մեկ գործողության համար (առավելագույն հնարավոր ITD), նեյրոմորֆ հաշվողական գրաֆիկի էներգիայի սպառումը կազմում է 61,7 նՎտ:Յուրաքանչյուր pMUT ընդունիչի նկատմամբ կիրառվող նեյրոմորֆիկ նախնական մշակման դեպքում ամբողջ համակարգի էներգիայի սպառումը հասնում է 81,6 նՎտ-ի:Առաջարկվող նեյրոմորֆիկ մոտեցման էներգաարդյունավետությունը սովորական սարքաշարի համեմատ հասկանալու համար մենք այս թիվը համեմատեցինք էներգիայի հետ, որն անհրաժեշտ է նույն առաջադրանքը ժամանակակից ցածր էներգիայի միկրոկոնտրոլերի վրա կատարելու համար՝ օգտագործելով նեյրոմորֆ կամ սովորական ճառագայթների ձևավորում68 հմտություն:Նեյրոմորֆիկ մոտեցումը դիտարկում է անալոգային-թվային փոխարկիչի (ADC) փուլը, որին հաջորդում է ժապավենային անցումային ֆիլտրը և ծրարի արդյունահանման փուլը (Teeger-Kaiser մեթոդ):Վերջապես, շեմային գործողություն է կատարվում ToF-ը հանելու համար:Մենք բաց ենք թողել ITD-ի հաշվարկը՝ հիմնված ToF-ի վրա և փոխակերպումը գնահատված անկյունային դիրքի, քանի որ դա տեղի է ունենում յուրաքանչյուր չափման համար մեկ անգամ (տես Մեթոդներ):Ենթադրելով նմուշառման արագություն 250 կՀց երկու ալիքների վրա (pMUT ընդունիչներ), 18 տիրույթի անցումային ֆիլտրի գործողություն, 3 ծրարի արդյունահանման գործողություն և 1 շեմային գործողություն մեկ նմուշի վրա, էներգիայի ընդհանուր սպառումը գնահատվում է 245 միկրովտ:Սա օգտագործում է միկրոկոնտրոլերի ցածր էներգիայի ռեժիմը69, որը միանում է, երբ ալգորիթմները չեն աշխատում, ինչը նվազեցնում է էներգիայի սպառումը մինչև 10,8 մՎտ:Հղումում առաջարկված ճառագայթային ազդանշանի մշակման լուծույթի էներգիայի սպառումը:31, 5 pMUT ընդունիչներով և 11 ճառագայթներով միատեսակ բաշխված ազիմուտ հարթությունում [-50°, +50°], 11,71 մՎտ է (մանրամասների համար տե՛ս Մեթոդներ բաժինը):Բացի այդ, մենք հայտնում ենք FPGA47-ի վրա հիմնված ժամանակի տարբերության կոդավորիչի (TDE) էներգիայի սպառումը, որը գնահատվում է 1,5 մՎտ՝ որպես օբյեկտների տեղայնացման Jeffress մոդելի փոխարինում:Ելնելով այս գնահատականներից՝ առաջարկվող նեյրոմորֆիկ մոտեցումը նվազեցնում է էներգիայի սպառումը հինգ կարգով, համեմատած միկրոկոնտրոլերի հետ, որն օգտագործում է ճառագայթների ձևավորման դասական տեխնիկա օբյեկտների տեղայնացման գործողությունների համար:Դասական միկրոկոնտրոլերի վրա ազդանշանի մշակման նեյրոմորֆիկ մոտեցման ընդունումը նվազեցնում է էներգիայի սպառումը մոտ երկու կարգով:Առաջարկվող համակարգի արդյունավետությունը կարելի է բացատրել ասինխրոն դիմադրողական-հիշողության անալոգային սխեմայի համակցությամբ, որը կարող է հիշողության հաշվարկներ կատարել և ազդանշանները ընկալելու համար անհրաժեշտ անալոգային-թվային փոխակերպման բացակայությամբ:
տեղայնացման գործողության անկյունային լուծաչափը (կապույտ) և էներգիայի սպառումը (կանաչ)՝ կախված CD մոդուլների քանակից:Մուգ կապույտ հորիզոնական բարը ներկայացնում է PMUT-ի անկյունային ճշգրտությունը, իսկ բաց կապույտ հորիզոնական բարը ներկայացնում է նեյրոմորֆ հաշվողական գրաֆիկի անկյունային ճշգրտությունը:b Առաջարկվող համակարգի էներգիայի սպառումը և համեմատություն երկու քննարկված միկրոկառավարիչների ներդրման և ժամանակի տարբերության կոդավորիչի (TDE)47 FPGA թվային ներդրման հետ:
Թիրախային տեղայնացման համակարգի էներգիայի սպառումը նվազագույնի հասցնելու համար մենք ստեղծեցինք, նախագծեցինք և իրականացրեցինք արդյունավետ, իրադարձությունների վրա հիմնված RRAM-ի վրա հիմնված նեյրոմորֆիկ միացում, որը մշակում է ներկառուցված սենսորների կողմից առաջացած ազդանշանի տեղեկատվությունը` թիրախ օբյեկտի դիրքը իրականում հաշվարկելու համար: ժամանակ..Մինչ մշակման ավանդական մեթոդները շարունակաբար նմուշառում են հայտնաբերված ազդանշանները և կատարում են հաշվարկներ՝ օգտակար տեղեկատվություն հանելու համար, առաջարկվող նեյրոմորֆ լուծումը հաշվարկներ է կատարում ասինխրոն կերպով, երբ գալիս է օգտակար տեղեկատվություն՝ առավելագույնի հասցնելով համակարգի էներգիայի արդյունավետությունը հինգ կարգով:Բացի այդ, մենք կարևորում ենք RRAM-ի վրա հիմնված նեյրոմորֆիկ սխեմաների ճկունությունը:RRAM-ի կարողությունը փոխելու հաղորդունակությունը ոչ անկայուն եղանակով (պլաստիկություն) փոխհատուցում է ծայրահեղ ցածր էներգիայի անալոգային DPI-ի սինապտիկ և նյարդային սխեմաների բնորոշ փոփոխականությունը:Սա RRAM-ի վրա հիմնված այս շղթան դարձնում է բազմակողմանի և հզոր:Մեր նպատակն է ոչ թե ազդանշաններից դուրս հանել բարդ գործառույթներ կամ օրինաչափություններ, այլ իրական ժամանակում օբյեկտների տեղայնացումը:Մեր համակարգը կարող է նաև արդյունավետ կերպով սեղմել ազդանշանը և ի վերջո ուղարկել այն հետագա մշակման քայլերին՝ անհրաժեշտության դեպքում ավելի բարդ որոշումներ կայացնելու համար:Տեղայնացման կիրառությունների համատեքստում մեր նեյրոմորֆ նախամշակման քայլը կարող է տեղեկատվություն տրամադրել օբյեկտների գտնվելու վայրի մասին:Այս տեղեկատվությունը կարող է օգտագործվել, օրինակ, շարժման հայտնաբերման կամ ժեստերի ճանաչման համար:Մենք ընդգծում ենք ծայրահեղ ցածր էներգիայի սենսորների, ինչպիսիք են pMUT-ները համակցելու կարևորությունը ծայրահեղ ցածր էներգիայի էլեկտրոնիկայի հետ:Դրա համար նեյրոմորֆիկ մոտեցումներն առանցքային են եղել, քանի որ դրանք մեզ հանգեցրել են կենսաբանորեն ներշնչված հաշվողական մեթոդների նոր շղթայի ներդրման մշակմանը, ինչպիսին է Ջեֆրեսի մոդելը:Սենսորների միաձուլման հավելվածների համատեքստում մեր համակարգը կարող է համակցվել մի քանի տարբեր իրադարձությունների վրա հիմնված սենսորների հետ՝ ավելի ճշգրիտ տեղեկատվություն ստանալու համար:Թեև բվերը հիանալի են կարողանում որս գտնել մթության մեջ, նրանք ունեն հիանալի տեսողություն և կատարում են համակցված լսողական և տեսողական որոնում նախքան որս բռնելը70:Երբ որոշակի լսողական նեյրոն կրակում է, բուն ստանում է իրեն անհրաժեշտ տեղեկատվությունը, որպեսզի որոշի, թե որ ուղղությամբ սկսել իր տեսողական որոնումը, այդպիսով կենտրոնացնելով իր ուշադրությունը տեսողական տեսարանի մի փոքր մասի վրա:Վիզուալ սենսորների (DVS տեսախցիկի) և առաջարկվող լսողության սենսորի համակցությունը (հիմնված pMUT-ի վրա) պետք է ուսումնասիրվի ապագա ինքնավար գործակալների մշակման համար:
pMUT սենսորը տեղադրված է PCB-ի վրա, որի երկու ընդունիչները միմյանցից մոտավորապես 10 սմ հեռավորության վրա են, իսկ հաղորդիչը գտնվում է ընդունիչների միջև:Այս աշխատանքում յուրաքանչյուր թաղանթ կախովի բիմորֆ կառուցվածք է, որը բաղկացած է պիեզոէլեկտրական ալյումինի նիտրիդից (AlN) 800 նմ հաստությամբ երեք շերտերի միջև՝ 200 նմ հաստությամբ և պատված 200 նմ հաստությամբ շերտով:վերին պասիվացնող SiN շերտը, ինչպես նկարագրված է հղումում:71. Ներքին և արտաքին էլեկտրոդները քսվում են մոլիբդենի ստորին և վերին շերտերի վրա, իսկ միջին մոլիբդենի էլեկտրոդը ձևավորված չէ և օգտագործվում է որպես հիմք, որի արդյունքում ստացվում է չորս զույգ էլեկտրոդներով թաղանթ:
Այս ճարտարապետությունը թույլ է տալիս օգտագործել սովորական թաղանթային դեֆորմացիա, որի արդյունքում բարելավվում է փոխանցման և ընդունման զգայունությունը:Նման pMUT-ը սովորաբար ցուցադրում է 700 նմ/Վ գրգռման զգայունություն որպես արտանետիչ՝ ապահովելով 270 Պա/Վ մակերեսային ճնշում:Որպես ընդունիչ, մեկ pMUT թաղանթ ցուցադրում է 15 nA/Pa կարճ միացման զգայունություն, որն ուղղակիորեն կապված է AlN-ի պիեզոէլեկտրական գործակցի հետ:AlN շերտում լարման տեխնիկական փոփոխականությունը հանգեցնում է ռեզոնանսային հաճախականության փոփոխության, որը կարող է փոխհատուցվել pMUT-ի վրա DC կողմնակալության կիրառմամբ:DC-ի զգայունությունը չափվել է 0,5 կՀց/Վ-ում:Ակուստիկ բնութագրման համար pMUT-ի դիմաց օգտագործվում է միկրոֆոն:
Էխոյի զարկերակը չափելու համար pMUT-ի դիմաց տեղադրեցինք մոտ 50 սմ2 մակերեսով ուղղանկյուն ափսե՝ արտանետվող ձայնային ալիքներն արտացոլելու համար:Ե՛վ թիթեղների միջև ընկած հեռավորությունը, և՛ pMUT հարթության հետ կապված անկյունը վերահսկվում են հատուկ կրիչներով:Tectronix CPX400DP լարման աղբյուրը շեղում է երեք pMUT թաղանթ՝ կարգավորելով ռեզոնանսային հաճախականությունը մինչև 111,9 կՀց31, մինչդեռ հաղորդիչները շարժվում են Tectronix AFG 3102 իմպուլսային գեներատորով, որը կարգավորվում է ռեզոնանսային հաճախականությամբ (111,9 կՀց, 0,0, 0,0 ցիկլով)Յուրաքանչյուր pMUT ընդունիչի չորս ելքային նավահանգիստներից կարդացվող հոսանքները վերածվում են լարման՝ օգտագործելով հատուկ դիֆերենցիալ հոսանքի և լարման ճարտարապետություն, և ստացված ազդանշանները թվայնացվում են Spektrum տվյալների հավաքագրման համակարգի կողմից:Հայտնաբերման սահմանը բնութագրվում էր տարբեր պայմաններում pMUT ազդանշանի ստացմամբ. մենք տեղափոխեցինք ռեֆլեկտորը տարբեր հեռավորությունների վրա [30, 40, 50, 60, 80, 100] սմ և փոխեցինք pMUT-ի աջակցության անկյունը ([0, 20, 40] o ) Նկար 2b-ը ցույց է տալիս ITD-ի հայտնաբերման ժամանակավոր լուծաչափը՝ կախված համապատասխան անկյունային դիրքից աստիճաններով:
Այս հոդվածում օգտագործվում են երկու տարբեր RRAM սխեմաներ:Առաջինը 16,384 (16,000) սարքերի (128 × 128 սարքեր) զանգված է 1T1R կոնֆիգուրացիայի մեջ՝ մեկ տրանզիստորով և մեկ ռեզիստորով:Երկրորդ չիպը նեյրոմորֆիկ հարթակն է, որը ներկայացված է Նկար 4ա-ում:RRAM բջիջը բաղկացած է 5 նմ հաստությամբ HfO2 թաղանթից, որը տեղադրված է TiN/HfO2/Ti/TiN կույտում:RRAM փաթեթը ինտեգրված է ստանդարտ 130 նմ CMOS գործընթացի հետևի մասում (BEOL):RRAM-ի վրա հիմնված նեյրոմորֆիկ սխեմաները նախագծման մարտահրավեր են ներկայացնում ամբողջովին անալոգային էլեկտրոնային համակարգերի համար, որոնցում RRAM սարքերը համակցում են ավանդական CMOS տեխնոլոգիայի հետ:Մասնավորապես, RRAM սարքի հաղորդունակության վիճակը պետք է կարդալ և օգտագործել որպես համակարգի ֆունկցիայի փոփոխական:Այդ նպատակով նախագծվել, ստեղծվել և փորձարկվել է մի շղթա, որը կարդում է սարքի հոսանքը, երբ մուտքային իմպուլս է ստացվում և օգտագործում է այս հոսանքը դիֆերենցիալ զույգ ինտեգրատորի (DPI) սինապսի պատասխանը կշռելու համար:Այս սխեման ցուցադրված է Նկար 3ա-ում, որը ներկայացնում է Նկար 4ա-ում նեյրոմորֆիկ հարթակի հիմնական կառուցվածքային բլոկները:Մուտքային զարկերակը ակտիվացնում է 1T1R սարքի դարպասը՝ RRAM-ի միջոցով հոսանք առաջացնելով, որը համաչափ է սարքի G հաղորդունակությանը (Iweight = G(Vtop – Vx)):Գործառնական ուժեղացուցիչի (op-amp) շղթայի ինվերտացիոն մուտքն ունի մշտական ​​հաստատուն շեղման լարման Vtop:Op-amp-ի բացասական արձագանքը կապահովի Vx = Vtop՝ ապահովելով M1-ից հավասար հոսանք:Սարքից վերցված ընթացիկ քաշը ներարկվում է DPI սինապսում:Ավելի ուժեղ հոսանքը կհանգեցնի ավելի շատ ապաբևեռացման, ուստի RRAM հաղորդունակությունը արդյունավետ կերպով իրականացնում է սինապտիկ կշիռները:Այս էքսպոնենցիալ սինապտիկ հոսանքը ներարկվում է Leaky Integration and Excitation (LIF) նեյրոնների թաղանթային կոնդենսատորի միջոցով, որտեղ այն ինտեգրվում է որպես լարման:Եթե ​​մեմբրանի շեմային լարումը (ինվերտորի անջատիչ լարումը) հաղթահարվում է, նեյրոնի ելքային մասը ակտիվանում է՝ առաջացնելով ելքային ցատկ։Այս զարկերակը վերադառնում է և շունտավորում է նեյրոնի թաղանթային կոնդենսատորը դեպի գետնին, ինչը հանգեցնում է նրա լիցքաթափման:Այնուհետև այս շղթան լրացվում է իմպուլսային ընդլայնիչով (ցուցված չէ նկ. 3ա-ում), որը ձևավորում է LIF նեյրոնի ելքային զարկերակը մինչև թիրախային իմպուլսի լայնությունը:Յուրաքանչյուր տողում ներկառուցված են նաև մուլտիպլեքսորներ, որոնք թույլ են տալիս լարման կիրառում RRAM սարքի վերին և ստորին էլեկտրոդների վրա:
Էլեկտրական փորձարկումը ներառում է անալոգային սխեմաների դինամիկ վարքագծի վերլուծություն և գրանցում, ինչպես նաև RRAM սարքերի ծրագրավորում և ընթերցում:Երկու քայլերն էլ պահանջում են հատուկ գործիքներ, որոնք բոլորը միաժամանակ միացված են սենսորային տախտակին:Նեյրոմորֆ սխեմաներում RRAM սարքերի հասանելիությունն իրականացվում է արտաքին գործիքներից՝ մուլտիպլեքսորի (MUX) միջոցով:MUX-ը բաժանում է 1T1R բջիջը մնացած սխեմայից, որին պատկանում է, թույլ տալով սարքը կարդալ և/կամ ծրագրավորել:RRAM սարքերը ծրագրավորելու և կարդալու համար Keithley 4200 SCS մեքենան օգտագործվում է Arduino միկրոկառավարիչի հետ համատեղ. առաջինը իմպուլսների ճշգրիտ ստեղծման և ընթացիկ ընթերցման համար, իսկ երկրորդը՝ հիշողության զանգվածի առանձին 1T1R տարրերին արագ մուտք գործելու համար:Առաջին գործողությունը RRAM սարքի ձևավորումն է:Բջիջները մեկ առ մեկ ընտրվում են, և վերին և ստորին էլեկտրոդների միջև դրվում է դրական լարում:Տվյալ դեպքում հոսանքը սահմանափակվում է տասնյակ միկրոամպերի կարգով` սելեկտոր տրանզիստորին համապատասխան դարպասի լարման մատակարարման պատճառով:Այնուհետև RRAM բջիջը կարող է շրջել ցածր հաղորդունակ վիճակի (LCS) և բարձր հաղորդունակության (HCS) միջև՝ համապատասխանաբար օգտագործելով RESET և SET գործողությունները:SET գործողությունն իրականացվում է վերին էլեկտրոդի վրա ուղղանկյուն լարման իմպուլս կիրառելով 1 մկվ տևողությամբ և 2,0-2,5 Վ գագաթնակետային լարման, և համանման ձևի համաժամեցման իմպուլս՝ 0,9-1,3 Վ գագաթնակետային լարմամբ: ընտրիչ տրանզիստորի դարպասը:Այս արժեքները թույլ են տալիս մոդուլավորել RRAM հաղորդունակությունը 20-150 µs ընդմիջումներով:RESET-ի համար 1 µs լայնությամբ, 3 Վ գագաթնակետային իմպուլս կիրառվում է բջջի ստորին էլեկտրոդի (բիթային գծի) վրա, երբ դարպասի լարումը գտնվում է 2,5-3,0 Վ միջակայքում: Անալոգային սխեմաների մուտքերն ու ելքերը դինամիկ ազդանշաններ են: .Մուտքի համար մենք միաձուլեցինք երկու HP 8110 իմպուլսային գեներատորներ Tektronix AFG3011 ազդանշանային գեներատորներով:Մուտքային զարկերակն ունի 1 մկվ լայնություն և 50 վս բարձրացման/անկման եզր:Ենթադրվում է, որ իմպուլսի այս տեսակը բնորոշ անսարքություն է անալոգային խափանումների վրա հիմնված սխեմաներում:Ինչ վերաբերում է ելքային ազդանշանին, ապա ելքային ազդանշանը ձայնագրվել է Teledyne LeCroy 1 ԳՀց օսցիլոսկոպի միջոցով:Ապացուցված է, որ օսլիլոսկոպի ձեռքբերման արագությունը սահմանափակող գործոն չէ շղթայի տվյալների վերլուծության և ձեռքբերման համար:
Անալոգային էլեկտրոնիկայի դինամիկայի օգտագործումը նեյրոնների և սինապսների վարքագիծը մոդելավորելու համար էլեգանտ և արդյունավետ լուծում է հաշվողական արդյունավետությունը բարելավելու համար:Այս հաշվողական հիմքի թերությունն այն է, որ այն կտարբերվի սխեմայից սխեմա:Մենք քանակականացրել ենք նեյրոնների և սինապտիկ շղթաների փոփոխականությունը (Լրացուցիչ Նկ. 2ա,բ):Փոփոխականության բոլոր դրսեւորումներից նրանք, որոնք կապված են ժամանակի հաստատունների և մուտքային շահույթի հետ, ամենամեծ ազդեցությունն ունեն համակարգի մակարդակում:LIF նեյրոնի և DPI սինապսի ժամանակի հաստատունը որոշվում է RC սխեմայով, որտեղ R-ի արժեքը վերահսկվում է տրանզիստորի դարպասի վրա կիրառվող կողմնակալ լարման միջոցով (Vlk նեյրոնի և Vtau սինապսի համար), որը որոշում է արտահոսքի մակարդակը.Մուտքային շահույթը սահմանվում է որպես սինապտիկ և նեյրոնային թաղանթային կոնդենսատորների կողմից ստացված գագաթնակետային լարման, որը խթանվում է մուտքային իմպուլսով:Մուտքային շահույթը վերահսկվում է մեկ այլ կողմնակալ տրանզիստորով, որը մոդուլավորում է մուտքային հոսանքը:Մոնտե Կառլոյի սիմուլյացիա, որը տրամաչափված է ST Microelectronics-ի 130 նմ պրոցեսի վրա, կատարվել է որոշակի մուտքային շահույթի և ժամանակի կայուն վիճակագրություն հավաքելու համար:Արդյունքները ներկայացված են Լրացուցիչ Նկար 2-ում, որտեղ մուտքային շահույթը և ժամանակի հաստատունը քանակականացվում են որպես արտահոսքի արագությունը վերահսկող կողմնակալության լարման ֆունկցիա:Կանաչ մարկերները քանակականացնում են ժամանակի հաստատունի ստանդարտ շեղումը միջինից:Ե՛վ նեյրոնները, և՛ սինապտիկ սխեմաները կարողացել են արտահայտել ժամանակային հաստատունների լայն տիրույթ 10-5-10-2 վրկ միջակայքում, ինչպես ցույց է տրված Լրացուցիչ Նկ. սխեմայով:Նեյրոնների և սինապսների փոփոխականության մուտքային ուժեղացումը (Լրացուցիչ նկ. 2e,d) կազմել է համապատասխանաբար մոտավորապես 8% և 3%:Նման անբավարարությունը լավ փաստագրված է գրականության մեջ. տարբեր չափումներ են կատարվել DYNAP չիպերի զանգվածի վրա՝ գնահատելու LIF63 նեյրոնների պոպուլյացիաների անհամապատասխանությունը:BrainScale խառը ազդանշանային չիպի սինապսները չափվել և վերլուծվել են դրանց անհամապատասխանությունները, և առաջարկվել է տրամաչափման ընթացակարգ՝ նվազեցնելու համակարգի մակարդակի փոփոխականության ազդեցությունը64:
RRAM-ի գործառույթը նեյրոմորֆիկ սխեմաներում երկուսն է. ճարտարապետության սահմանում (մուտքերի երթուղում դեպի ելքեր) և սինապտիկ կշիռների իրականացում:Վերջին հատկությունը կարող է օգտագործվել մոդելավորված նեյրոմորֆային շղթաների փոփոխականության խնդիրը լուծելու համար։Մենք մշակել ենք պարզ չափորոշման ընթացակարգ, որը ներառում է RRAM սարքի վերածրագրավորում, մինչև վերլուծվող շղթան բավարարի որոշակի պահանջներին:Տվյալ մուտքագրման համար ելքը վերահսկվում է և RRAM-ը վերածրագրավորվում է մինչև նպատակային վարքագիծը հասնելը:Ծրագրավորման գործողությունների միջև ներդրվել է 5 վրկ սպասման ժամանակ՝ RRAM-ի թուլացման խնդիրը լուծելու համար, ինչը հանգեցնում է անցողիկ հաղորդունակության տատանումների (Լրացուցիչ տեղեկատվություն):Սինապտիկ կշիռները ճշգրտվում կամ չափորոշվում են՝ համաձայն մոդելավորվող նեյրոմորֆիկ շղթայի պահանջների:Կալիբրացիայի ընթացակարգը ամփոփված է լրացուցիչ ալգորիթմներում [1, 2], որոնք կենտրոնանում են նեյրոմորֆային հարթակների երկու հիմնարար հատկանիշների վրա՝ հետաձգման գծերի և ուղղության անզգայուն CD-ի վրա:Հետաձգման գիծ ունեցող շղթայի համար թիրախային վարքագիծը ելքային զարկերակ ապահովելն է ուշացումով Δt:Եթե ​​շղթայի իրական ուշացումը նպատակային արժեքից փոքր է, G3-ի սինապտիկ կշիռը պետք է կրճատվի (G3-ը պետք է զրոյացնել, այնուհետև սահմանել ավելի ցածր համապատասխանող հոսանքի Icc):Ընդհակառակը, եթե իրական ուշացումը ավելի մեծ է, քան նպատակային արժեքը, G3-ի հաղորդունակությունը պետք է մեծացվի (G3-ը նախ պետք է զրոյացնել, այնուհետև սահմանել ավելի բարձր Icc արժեքի):Այս գործընթացը կրկնվում է այնքան ժամանակ, մինչև շղթայի կողմից առաջացած ուշացումը համընկնի նպատակային արժեքի հետ, և սահմանվի հանդուրժողականություն՝ տրամաչափման գործընթացը դադարեցնելու համար:Կողմնորոշման նկատմամբ զգայուն ձայնասկավառակների համար ստուգաչափման գործընթացում ներգրավված են երկու RRAM սարքեր՝ G1 և G3:Այս շղթան ունի երկու մուտք՝ Vin0 և Vin1, հետաձգված dt-ով:Շղթան պետք է արձագանքի միայն համընկնող միջակայքից ցածր ուշացումներին [0,dtCD]:Եթե ​​ելքային գագաթնակետ չկա, բայց մուտքային գագաթնակետը մոտ է, երկու RRAM սարքերը պետք է ուժեղացվեն, որպեսզի օգնեն նեյրոնին հասնել շեմին:Ընդհակառակը, եթե միացումն արձագանքում է ուշացմանը, որը գերազանցում է dtCD-ի թիրախային միջակայքը, հաղորդունակությունը պետք է կրճատվի:Կրկնեք գործընթացը մինչև ճիշտ վարքագիծը ձեռք բերվի:Համապատասխանության հոսանքը կարող է մոդուլավորվել ներկառուցված անալոգային սխեմայով ref.72,73.Այս ներկառուցված սխեմայի միջոցով նման պրոցեդուրաները կարող են պարբերաբար իրականացվել՝ համակարգը չափաբերելու կամ այն ​​մեկ այլ կիրառման համար նորից օգտագործելու համար:
Մենք գնահատում ենք նեյրոմորֆ ազդանշանի մշակման մեր մոտեցման էներգիայի սպառումը ստանդարտ 32-բիթանոց միկրոկոնտրոլերի վրա68:Այս գնահատման ժամանակ մենք ենթադրում ենք աշխատանքը նույն կարգաբերմամբ, ինչ այս փաստաթղթում, մեկ pMUT հաղորդիչով և երկու pMUT ընդունիչով:Այս մեթոդը օգտագործում է ժապավենային ֆիլտր, որին հաջորդում է ծրարի արդյունահանման քայլը (Teeger-Kaiser), և վերջապես ազդանշանի վրա կիրառվում է շեմային գործողություն՝ թռիչքի ժամանակը հանելու համար:ITD-ի հաշվարկը և դրա վերափոխումը հայտնաբերման անկյուններին բաց թողնված են գնահատման ժամանակ:Մենք դիտարկում ենք տիրույթի անցումային ֆիլտրի իրականացում՝ օգտագործելով 4-րդ կարգի անսահման իմպուլսային արձագանքման ֆիլտր, որը պահանջում է 18 լողացող կետով գործողություն:Ծրարի արդյունահանումը օգտագործում է ևս երեք լողացող կետի գործողություն, և վերջին գործողությունը օգտագործվում է շեմը սահմանելու համար:Ազդանշանի նախնական մշակման համար պահանջվում է ընդհանուր առմամբ 22 լողացող կետի գործողություն:Հաղորդվող ազդանշանը 111,9 կՀց սինուսային ալիքի կարճ պոռթկում է, որը ստեղծվում է յուրաքանչյուր 10 մվ-ում, ինչը հանգեցնում է 100 Հց դիրքավորման աշխատանքային հաճախականության:Մենք օգտագործեցինք 250 կՀց նմուշառման արագություն՝ Nyquist-ին համապատասխանելու համար և 6 ms պատուհան յուրաքանչյուր չափման համար՝ 1 մետր տիրույթ գրավելու համար:Նկատի ունեցեք, որ 6 միլիվայրկանը 1 մետր հեռավորության վրա գտնվող օբյեկտի թռիչքի ժամանակն է:Սա ապահովում է 180 µW էներգիայի սպառում A/D փոխակերպման համար 0,5 MSPS-ով:Ազդանշանի նախնական մշակումը 6,60 MIPS է (հրահանգներ մեկ վայրկյանում), արտադրելով 0,75 մՎտ:Այնուամենայնիվ, միկրոկառավարիչը կարող է անցնել ցածր էներգիայի ռեժիմի 69, երբ ալգորիթմը չի աշխատում:Այս ռեժիմը ապահովում է ստատիկ էներգիայի սպառում 10,8 մկՎտ և արթնացման ժամանակ՝ 113 մկվ:Հաշվի առնելով 84 ՄՀց ժամացույցի հաճախականությունը, միկրոկոնտրոլերը կատարում է նեյրոմորֆիկ ալգորիթմի բոլոր գործողությունները 10 մվ-ի ընթացքում, և ալգորիթմը հաշվարկում է 6,3% աշխատանքային ցիկլ՝ այդպիսով օգտագործելով ցածր էներգիայի ռեժիմ:Արդյունքում էներգիայի սպառումը 244,7 մկՎտ է:Նկատի ունեցեք, որ մենք բաց ենք թողնում ITD-ի ելքը ToF-ից և փոխարկումը հայտնաբերման անկյունին՝ այդպիսով թերագնահատելով միկրոկառավարիչի էներգիայի սպառումը:Սա լրացուցիչ արժեք է ապահովում առաջարկվող համակարգի էներգաարդյունավետության համար:Որպես լրացուցիչ համեմատության պայման՝ մենք գնահատում ենք տեղեկանքում առաջարկված դասական ճառագայթային ձևավորման մեթոդների էներգիայի սպառումը:31.54, երբ ներկառուցված է նույն միկրոկոնտրոլերում68 1.8 Վ սնուցման լարման դեպքում:Հինգ հավասարաչափ տարածված pMUT թաղանթներ օգտագործվում են ճառագայթների ձևավորման համար տվյալներ ձեռք բերելու համար:Ինչ վերաբերում է հենց մշակմանը, ապա ճառագայթային ձևավորման մեթոդը ուշացումն է:Այն պարզապես բաղկացած է երթուղիների վրա ուշացում կիրառելուց, որը համապատասխանում է ժամանման ժամանակների ակնկալվող տարբերությանը մեկ երթևեկելի գոտու և հղման գոտու միջև:Եթե ​​ազդանշանները փուլային են, ապա այդ ազդանշանների գումարը ժամանակային հերթափոխից հետո կունենա բարձր էներգիա:Եթե ​​դրանք դուրս են փուլից, ապա կործանարար միջամտությունը կսահմանափակի դրանց գումարի էներգիան:հարաբերությունների մեջ.Նկ.31-ում ընտրվում է 2 ՄՀց նմուշառման արագություն՝ տվյալների ամբողջ թվով նմուշներով ժամանակի տեղափոխման համար:Ավելի համեստ մոտեցում է 250 կՀց ավելի կոպիտ ընտրանքի արագությունը պահպանելը և վերջավոր իմպուլսային արձագանքի (FIR) ֆիլտրի օգտագործումը կոտորակային ուշացումները սինթեզելու համար:Մենք ենթադրում ենք, որ ճառագայթների ձևավորման ալգորիթմի բարդությունը հիմնականում որոշվում է ժամանակի տեղաշարժով, քանի որ յուրաքանչյուր ալիք խճճված է FIR ֆիլտրով, յուրաքանչյուր ուղղությամբ 16 հպումով:Այս գործողության համար պահանջվող MIPS-ների քանակը հաշվարկելու համար մենք դիտարկում ենք 6մս մեկ չափման պատուհան՝ 1 մետր, 5 ալիք, ճառագայթ ձևավորող 11 ուղղություններ (միջակայքը +/- 50° 10° քայլերով) ֆիքսելու համար:75 չափումներ/վայրկյանում միկրոկոնտրոլերը մղել է առավելագույնը՝ 100 MIPS:Հղում.68, ինչը հանգեցնում է 11,26 մՎտ էներգիայի սպառման՝ 11,71 մՎտ ընդհանուր էներգիայի սպառման համար՝ ADC ներդրումը ավելացնելուց հետո:
Այս ուսումնասիրության արդյունքները հաստատող տվյալները հասանելի են համապատասխան հեղինակից՝ FM-ից՝ ողջամիտ պահանջով:
Indiveri, G. & Sandamirskaya, Y. Տարածության և ժամանակի կարևորությունը նեյրոմորֆ գործակալներում ազդանշանների մշակման համար. շրջակա միջավայրի հետ փոխազդող ցածր էներգիայի, ինքնավար գործակալների ստեղծման մարտահրավերը: Indiveri, G. & Sandamirskaya, Y. Տարածության և ժամանակի կարևորությունը նեյրոմորֆ գործակալներում ազդանշանների մշակման համար. շրջակա միջավայրի հետ փոխազդող ցածր էներգիայի, ինքնավար գործակալների ստեղծման մարտահրավերը:Indiveri G. and Sandamirskaya Y. Տարածության և ժամանակի կարևորությունը նեյրոմորֆ գործակալներում ազդանշանների մշակման համար. շրջակա միջավայրի հետ փոխազդող ցածր էներգիայի ինքնավար գործակալների ստեղծման մարտահրավերը: Indiveri, G. & Sandamirskaya, Յ.主代理的挑战. Ինդիվերի, Գ. & Սանդամիրսկայա, Յ.Indiveri G. and Sandamirskaya Y. Տարածության և ժամանակի կարևորությունը նեյրոմորֆ գործակալներում ազդանշանների մշակման համար. շրջակա միջավայրի հետ փոխազդող ցածր էներգիայի ինքնավար գործակալների ստեղծման մարտահրավերը:IEEE ազդանշանի մշակում:Հանդես 36, 16–28 (2019):
Thorpe, SJ Peak Arrival Time. An Efficient Neural Network Coding Scheme. Eckmiller, R., Hartmann, G. & Hauske, G.-ում (eds): Eckmiller, R., Hartmann, G. & Hauske, G.-ում (eds):Eckmiller, R., Hartmann, G. and Hauske, G.-ում (խմբ.):Eckmiller, R., Hartmann, G., and Hauske, G.-ում (խմբ.):Զուգահեռ մշակումը նյարդային համակարգերում և համակարգիչներում 91–94 (North-Holland Elsevier, 1990):
Levy, WB & Calvert, VG Communication-ը 35 անգամ ավելի շատ էներգիա է սպառում, քան հաշվարկը մարդու կեղևում, բայց երկու ծախսերն էլ անհրաժեշտ են սինապսի թիվը կանխատեսելու համար: Levy, WB & Calvert, VG Communication-ը 35 անգամ ավելի շատ էներգիա է սպառում, քան հաշվարկը մարդու կեղևում, բայց երկու ծախսերն էլ անհրաժեշտ են սինապսի թիվը կանխատեսելու համար:Levy, WB և Calvert, WG Communication-ը 35 անգամ ավելի շատ էներգիա է սպառում, քան հաշվարկը մարդու կեղևում, բայց երկու ծախսերն էլ անհրաժեշտ են սինապսների քանակը կանխատեսելու համար: Levy, WB & Calvert, VG Communication-ը: Levy, WB & Calvert, VG CommunicationLevy, WB և Calvert, WG Communication-ը 35 անգամ ավելի շատ էներգիա է սպառում, քան հաշվարկը մարդու կեղևում, բայց երկու ծախսերն էլ պահանջում են կանխատեսել սինապսների քանակը:գործընթաց։Գիտությունների ազգային ակադեմիա.գիտությունը։ԱՄՆ 118, https://doi.org/10.1073/pnas.2008173118 (2021):
Dalgaty, T., Vianello, E., De Salvo, B. & Casas, J. Միջատներից ներշնչված նեյրոմորֆային հաշվարկ: Dalgaty, T., Vianello, E., De Salvo, B. & Casas, J. Միջատներից ներշնչված նեյրոմորֆային հաշվարկ:Dalgati, T., Vianello, E., DeSalvo, B. and Casas, J. Միջատներից ներշնչված նեյրոմորֆային հաշվարկ:Dalgati T., Vianello E., DeSalvo B. and Casas J. Միջատներից ներշնչված նեյրոմորֆային հաշվարկ:Ընթացիկ.Կարծիք.Միջատների գիտություն.30, 59–66 (2018):
Roy, K., Jaiswal, A. & Panda, P. Դեպի հասկի վրա հիմնված մեքենայական ինտելեկտը նեյրոմորֆային հաշվարկով: Roy, K., Jaiswal, A. & Panda, P. Դեպի հասկի վրա հիմնված մեքենայական ինտելեկտը նեյրոմորֆային հաշվարկով: Roy, K., Jaiswal, A. & Panda, P. Towards Spike-based Machine Intelligence with Neuromorphic Computing.Roy K, Jaiswal A, and Panda P. Pulse-ի վրա հիմնված արհեստական ​​ինտելեկտը, օգտագործելով նեյրոմորֆային հաշվարկներ:Nature 575, 607–617 (2019):
Indiveri, G. & Liu, S.-C. Indiveri, G. & Liu, S.-C.Ինդիվերի, Գ. և Լյու, Ս.-Կ. Indiveri, G. & Liu, S.-C. Indiveri, G. & Liu, S.-C.Ինդիվերի, Գ. և Լյու, Ս.-Կ.Հիշողությունը և տեղեկատվության մշակումը նեյրոմորֆ համակարգերում.գործընթաց։IEEE 103, 1379–1397 (2015):
Ակոպյան Ֆ. և այլք.Truenorth. Նախագծում և գործիքակազմ 65 մՎտ հզորությամբ 1 միլիոն նեյրոնով ծրագրավորվող սինապտիկ չիպի համար:IEEE գործարքներ.Ինտեգրալ միացումների համակարգերի համակարգչային նախագծում.34, 1537–1557 (2015):
Schemmel, J. et al.Կենդանի ցուցադրություն՝ BrainScaleS նեյրոմորֆային համակարգի փոքրացված տարբերակը ափսեի մասշտաբով:2012 IEEE International Symposium on Circuits and Systems (ISCAS), (IEEE խմբ.) 702–702 (2012):
Moradi, S., Qiao, N., Stefanini, F. & Indiveri, G. Scalable multicore ճարտարապետություն տարասեռ հիշողության կառուցվածքներով դինամիկ նեյրոմորֆ ասինխրոն պրոցեսորների համար (DYNAPs): Moradi, S., Qiao, N., Stefanini, F. & Indiveri, G. Scalable multicore ճարտարապետություն տարասեռ հիշողության կառուցվածքներով դինամիկ նեյրոմորֆ ասինխրոն պրոցեսորների համար (DYNAPs):Moradi S., Qiao N., Stefanini F. and Indiviri G. Scalable multicore ճարտարապետություն՝ տարասեռ հիշողության կառուցվածքներով դինամիկ նեյրոմորֆ ասինխրոն պրոցեսորների համար (DYNAP): Moradi, S.、Qiao, N.、Stefanini, F. & Indiveri, G. 一种可扩展的多核架构,具有用于动态神经形,具有用于动态神经形异构内存结构. Moradi, S., Qiao, N., Stefanini, F. & Indiveri, G. Մի տեսակ ընդարձակվող բազմամիջուկ ճարտարապետություն, յուրահատուկ հիշողության կառուցվածքով դինամիկ նյարդային մշակման համար (DYNAP):Moradi S., Qiao N., Stefanini F. and Indiviri G. Scalable multicore ճարտարապետություն՝ տարասեռ հիշողության կառուցվածքներով դինամիկ նեյրոմորֆ ասինխրոն պրոցեսորների համար (DYNAP):IEEE գործարքներ կենսաբժշկական գիտության վրա:էլեկտրական համակարգ.12, 106–122 (2018):
Դևիսը, Մ. և այլք:Loihi. Նեյրոմորֆ բազմամիջուկ պրոցեսոր՝ ներկառուցված ուսուցմամբ:IEEE Micro 38, 82–99 (2018):
Furber, SB, Galluppi, F., Temple, S. & Plana, LA The SpiNNaker նախագիծ: Furber, SB, Galluppi, F., Temple, S. & Plana, LA The SpiNNaker նախագիծ:Ferber SB, Galluppi F., Temple S. and Plana LA SpiNNaker նախագիծը:Ferber SB, Galluppi F., Temple S. and Plana LA SpiNNaker նախագիծը:գործընթաց։IEEE 102, 652–665 (2014):
Լյու, Ս.-Կ. & Delbruck, T. Նեյրոմորֆային զգայական համակարգեր. & Delbruck, T. Նեյրոմորֆային զգայական համակարգեր.and Delbrück T. Նեյրոմորֆ զգայական համակարգեր: & Delbruck, T. 神经形态感觉系统։ & Դելբրուկ, Տ.and Delbrück T. Neuromorphic sensory system.Ընթացիկ.Կարծիք.Նյարդակենսաբանություն.20, 288–295 (2010):
Chope, T. et al.Նեյրոմորֆային զգայական ինտեգրում ձայնի աղբյուրի համակցված տեղայնացման և բախումից խուսափելու համար:2019 թվականին Կենսաբժշկական սխեմաների և համակարգերի IEEE կոնֆերանսում (BioCAS), (IEEE Ed.) 1–4 (2019):
Ռիսի, Ն., Աիմար, Ա., Դոնատի, Է., Սոլինաս, Ս. Ռիսի, Ն., Աիմար, Ա., Դոնատի, Է., Սոլինաս, Ս.Risi N, Aymar A, Donati E, Solinas S, and Indiveri G. Նեյրոմորֆիկ ստերեոտեսիլային ճարտարապետություն՝ հիմնված նեյրոմորֆների վրա: Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, G. 一种基于脉冲的立体视觉神经形态结构。 Risi, N., Aimar, A., Donati, E., Solinas, S. & Indiveri, Գ.Risi N, Aimar A, Donati E, Solinas S և Indiveri G. Սփայկի վրա հիմնված նեյրոմորֆիկ ճարտարապետություն ստերեո տեսողության համար:ճակատ.Neurorobotics 14, 93 (2020):
Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. 3D ընկալման ցայտուն նեյրոնային ցանցի մոդելը իրադարձությունների վրա հիմնված նեյրոմորֆիկ ստերեո տեսողության համակարգերի համար: Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. 3D ընկալման ցայտուն նեյրոնային ցանցի մոդելը իրադարձությունների վրա հիմնված նեյրոմորֆիկ ստերեո տեսողության համակարգերի համար:Oswald, M., Ieng, S.-H., Benosman, R., and Indiveri, G. A 3D իմպուլսային նյարդային ցանցի ընկալման մոդել իրադարձությունների վրա հիմնված նեյրոմորֆիկ ստերեո տեսողության համակարգերի համար: Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. Osswald, M., Ieng, S.-H., Benosman, R. & Indiveri, G. 3Dperception 脉冲神经网络模型。Oswald, M., Ieng, S.-H., Benosman, R. և Indiveri, G. Spiked 3Dperception նեյրոնային ցանցի մոդել իրադարձությունների վրա հիմնված նեյրոմորֆիկ ստերեո տեսողության համակարգի համար:գիտությունը։Զեկույց 7, 1–11 (2017):
Dalgaty, T. et al.Միջատներից ներշնչված հիմնական շարժման հայտնաբերումը ներառում է դիմադրողական հիշողություն և պայթած նեյրոնային ցանցեր:Bionic biohybrid համակարգ.10928, 115–128 (2018):
D'Angelo, G. et al.Իրադարձությունների վրա հիմնված էքսցենտրիկ շարժման հայտնաբերում ժամանակային դիֆերենցիալ կոդավորման միջոցով:ճակատ.Նյարդաբանություն.14, 451 (2020):


Հրապարակման ժամանակը՝ նոյ-17-2022